Initial commit
This commit is contained in:
		
							
								
								
									
										18
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										18
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd
									
									
									
									
									
										Normal file
									
								
							| @@ -0,0 +1,18 @@ | ||||
|  | ||||
| ARCHITECTURE rtl OF instrDecoder IS | ||||
| BEGIN | ||||
|  | ||||
|     decode : process(op) | ||||
|     begin | ||||
|         case op is | ||||
|             when "0000011" => immSrc <= "00"; -- lw | ||||
|             when "0100011" => immSrc <= "01"; -- sw | ||||
|             when "0110011" => immSrc <= "--"; -- R-type | ||||
|             when "1100011" => immSrc <= "10"; -- beq | ||||
|             when "0010011" => immSrc <= "00"; -- l-type ALU | ||||
|             when "1101111" => immSrc <= "11"; -- jal | ||||
|             when others => immSrc <= "--"; -- unknwon | ||||
|         end case; | ||||
|     end process decode; | ||||
|  | ||||
| END ARCHITECTURE rtl; | ||||
| @@ -0,0 +1,26 @@ | ||||
|  | ||||
| ARCHITECTURE rtl OF instructionForwarder IS | ||||
|     signal lvec_irMem : std_ulogic_vector(readData'range); | ||||
| BEGIN | ||||
|  | ||||
| --    forwardIR : process(rst, clk) | ||||
| --    begin | ||||
| --        if rst = '1' then | ||||
| --            lvec_irMem <= (others => '0'); | ||||
| --        elsif rising_edge(clk) then | ||||
| --            if en = '1' and IRWrite = '1' then | ||||
| --                lvec_irMem <= readData; | ||||
| --            end if; | ||||
| --        end if; | ||||
| --    end process forwardIR; | ||||
|  | ||||
|     forwardIR : process(readData, irWrite) | ||||
|     begin | ||||
|         if irWrite = '1' then | ||||
|             lvec_irMem <= readData; | ||||
|         end if; | ||||
|     end process forwardIR; | ||||
|  | ||||
|     instruction <= lvec_irMem; | ||||
|  | ||||
| END ARCHITECTURE rtl; | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
| @@ -0,0 +1 @@ | ||||
| DIALECT atom VHDL_2008 | ||||
							
								
								
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_controlunit._epf
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_controlunit._epf
									
									
									
									
									
										Normal file
									
								
							| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom struct | ||||
| DEFAULT_FILE atom control@unit/struct.bd | ||||
							
								
								
									
										3
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_heirv32_mc._epf
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										3
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_heirv32_mc._epf
									
									
									
									
									
										Normal file
									
								
							| @@ -0,0 +1,3 @@ | ||||
| TOP_MARKER atom 1 | ||||
| DEFAULT_FILE atom heirv32_mc/struct.bd | ||||
| DEFAULT_ARCHITECTURE atom struct | ||||
							
								
								
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_instrdecoder._epf
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_instrdecoder._epf
									
									
									
									
									
										Normal file
									
								
							| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom rtl | ||||
| DEFAULT_FILE atom instrDecoder_rtl.vhd | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_FILE atom instruction@data@memory/struct.bd | ||||
| DEFAULT_ARCHITECTURE atom struct | ||||
| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom rtl | ||||
| DEFAULT_FILE atom instructionForwarder_rtl.vhd | ||||
							
								
								
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_mainfsm._epf
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										2
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/_mainfsm._epf
									
									
									
									
									
										Normal file
									
								
							| @@ -0,0 +1,2 @@ | ||||
| DEFAULT_ARCHITECTURE atom fsm | ||||
| DEFAULT_FILE atom main@f@s@m/fsm.sm | ||||
							
								
								
									
										6095
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/struct.bd
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										6095
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/struct.bd
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										2439
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										2439
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										13169
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/struct.bd
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										13169
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/struct.bd
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1718
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1718
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1395
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instr@decoder/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1395
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instr@decoder/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										3458
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/struct.bd
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										3458
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/struct.bd
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1756
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1756
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										1488
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@forwarder/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										1488
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@forwarder/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										6751
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/fsm.sm
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										6751
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/fsm.sm
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
							
								
								
									
										2194
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
							
						
						
									
										2194
									
								
								Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/symbol.sb
									
									
									
									
									
										Normal file
									
								
							
										
											
												File diff suppressed because it is too large
												Load Diff
											
										
									
								
							
		Reference in New Issue
	
	Block a user