1673 lines
		
	
	
		
			21 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
			
		
		
	
	
			1673 lines
		
	
	
		
			21 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
| DocumentHdrVersion "1.1"
 | |
| Header (DocumentHdr
 | |
| version 2
 | |
| dialect 11
 | |
| dmPackageRefs [
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "std_logic_1164"
 | |
| )
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "numeric_std"
 | |
| )
 | |
| ]
 | |
| libraryRefs [
 | |
| "ieee"
 | |
| ]
 | |
| )
 | |
| version "26.1"
 | |
| appVersion "2018.1 (Build 12)"
 | |
| model (Symbol
 | |
| commonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| ordering 1
 | |
| suid 2014,0
 | |
| usingSuid 1
 | |
| emptyRow *1 (LEmptyRow
 | |
| )
 | |
| uid 158,0
 | |
| optionalChildren [
 | |
| *2 (RefLabelRowHdr
 | |
| )
 | |
| *3 (TitleRowHdr
 | |
| )
 | |
| *4 (FilterRowHdr
 | |
| )
 | |
| *5 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *6 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *7 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *8 (NameColHdr
 | |
| tm "NameColHdrMgr"
 | |
| )
 | |
| *9 (ModeColHdr
 | |
| tm "ModeColHdrMgr"
 | |
| )
 | |
| *10 (TypeColHdr
 | |
| tm "TypeColHdrMgr"
 | |
| )
 | |
| *11 (BoundsColHdr
 | |
| tm "BoundsColHdrMgr"
 | |
| )
 | |
| *12 (InitColHdr
 | |
| tm "InitColHdrMgr"
 | |
| )
 | |
| *13 (EolColHdr
 | |
| tm "EolColHdrMgr"
 | |
| )
 | |
| *14 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 2008,0
 | |
| )
 | |
| )
 | |
| uid 320,0
 | |
| )
 | |
| *15 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 2009,0
 | |
| )
 | |
| )
 | |
| uid 322,0
 | |
| )
 | |
| *16 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "startCounter"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 2012,0
 | |
| )
 | |
| )
 | |
| uid 686,0
 | |
| )
 | |
| *17 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "unitNb"
 | |
| t "unsigned"
 | |
| b "(unitCountBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 2013,0
 | |
| )
 | |
| )
 | |
| uid 688,0
 | |
| )
 | |
| *18 (LogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "done"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 2014,0
 | |
| )
 | |
| )
 | |
| uid 690,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 163,0
 | |
| optionalChildren [
 | |
| *19 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *20 (MRCItem
 | |
| litem &1
 | |
| pos 5
 | |
| dimension 20
 | |
| )
 | |
| uid 100,0
 | |
| optionalChildren [
 | |
| *21 (MRCItem
 | |
| litem &2
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 103,0
 | |
| )
 | |
| *22 (MRCItem
 | |
| litem &3
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 105,0
 | |
| )
 | |
| *23 (MRCItem
 | |
| litem &4
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 107,0
 | |
| )
 | |
| *24 (MRCItem
 | |
| litem &14
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 321,0
 | |
| )
 | |
| *25 (MRCItem
 | |
| litem &15
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 323,0
 | |
| )
 | |
| *26 (MRCItem
 | |
| litem &16
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 687,0
 | |
| )
 | |
| *27 (MRCItem
 | |
| litem &17
 | |
| pos 3
 | |
| dimension 20
 | |
| uid 689,0
 | |
| )
 | |
| *28 (MRCItem
 | |
| litem &18
 | |
| pos 4
 | |
| dimension 20
 | |
| uid 691,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 101,0
 | |
| optionalChildren [
 | |
| *29 (MRCItem
 | |
| litem &5
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 109,0
 | |
| )
 | |
| *30 (MRCItem
 | |
| litem &7
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 113,0
 | |
| )
 | |
| *31 (MRCItem
 | |
| litem &8
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 115,0
 | |
| )
 | |
| *32 (MRCItem
 | |
| litem &9
 | |
| pos 3
 | |
| dimension 50
 | |
| uid 117,0
 | |
| )
 | |
| *33 (MRCItem
 | |
| litem &10
 | |
| pos 4
 | |
| dimension 100
 | |
| uid 119,0
 | |
| )
 | |
| *34 (MRCItem
 | |
| litem &11
 | |
| pos 5
 | |
| dimension 100
 | |
| uid 121,0
 | |
| )
 | |
| *35 (MRCItem
 | |
| litem &12
 | |
| pos 6
 | |
| dimension 50
 | |
| uid 123,0
 | |
| )
 | |
| *36 (MRCItem
 | |
| litem &13
 | |
| pos 7
 | |
| dimension 80
 | |
| uid 125,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 4
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 99,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 157,0
 | |
| )
 | |
| genericsCommonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| emptyRow *37 (LEmptyRow
 | |
| )
 | |
| uid 165,0
 | |
| optionalChildren [
 | |
| *38 (RefLabelRowHdr
 | |
| )
 | |
| *39 (TitleRowHdr
 | |
| )
 | |
| *40 (FilterRowHdr
 | |
| )
 | |
| *41 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *42 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *43 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *44 (NameColHdr
 | |
| tm "GenericNameColHdrMgr"
 | |
| )
 | |
| *45 (TypeColHdr
 | |
| tm "GenericTypeColHdrMgr"
 | |
| )
 | |
| *46 (InitColHdr
 | |
| tm "GenericValueColHdrMgr"
 | |
| )
 | |
| *47 (PragmaColHdr
 | |
| tm "GenericPragmaColHdrMgr"
 | |
| )
 | |
| *48 (EolColHdr
 | |
| tm "GenericEolColHdrMgr"
 | |
| )
 | |
| *49 (LogGeneric
 | |
| generic (GiElement
 | |
| name "unitCountDivide"
 | |
| type "positive"
 | |
| value "10E3"
 | |
| )
 | |
| uid 432,0
 | |
| )
 | |
| *50 (LogGeneric
 | |
| generic (GiElement
 | |
| name "unitCountBitNb"
 | |
| type "positive"
 | |
| value "3"
 | |
| )
 | |
| uid 692,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 166,0
 | |
| optionalChildren [
 | |
| *51 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *52 (MRCItem
 | |
| litem &37
 | |
| pos 2
 | |
| dimension 20
 | |
| )
 | |
| uid 131,0
 | |
| optionalChildren [
 | |
| *53 (MRCItem
 | |
| litem &38
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 134,0
 | |
| )
 | |
| *54 (MRCItem
 | |
| litem &39
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 136,0
 | |
| )
 | |
| *55 (MRCItem
 | |
| litem &40
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 138,0
 | |
| )
 | |
| *56 (MRCItem
 | |
| litem &49
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 433,0
 | |
| )
 | |
| *57 (MRCItem
 | |
| litem &50
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 693,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 132,0
 | |
| optionalChildren [
 | |
| *58 (MRCItem
 | |
| litem &41
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 140,0
 | |
| )
 | |
| *59 (MRCItem
 | |
| litem &43
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 144,0
 | |
| )
 | |
| *60 (MRCItem
 | |
| litem &44
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 146,0
 | |
| )
 | |
| *61 (MRCItem
 | |
| litem &45
 | |
| pos 3
 | |
| dimension 100
 | |
| uid 148,0
 | |
| )
 | |
| *62 (MRCItem
 | |
| litem &46
 | |
| pos 4
 | |
| dimension 50
 | |
| uid 150,0
 | |
| )
 | |
| *63 (MRCItem
 | |
| litem &47
 | |
| pos 5
 | |
| dimension 50
 | |
| uid 152,0
 | |
| )
 | |
| *64 (MRCItem
 | |
| litem &48
 | |
| pos 6
 | |
| dimension 80
 | |
| uid 154,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 3
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 130,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 164,0
 | |
| type 1
 | |
| )
 | |
| VExpander (VariableExpander
 | |
| vvMap [
 | |
| (vvPair
 | |
| variable " "
 | |
| value " "
 | |
| )
 | |
| (vvPair
 | |
| variable "HDLDir"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl"
 | |
| )
 | |
| (vvPair
 | |
| variable "HDSDir"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataDesignDir"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb.info"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataUserDir"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb.user"
 | |
| )
 | |
| (vvPair
 | |
| variable "SourceDir"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "appl"
 | |
| value "HDL Designer"
 | |
| )
 | |
| (vvPair
 | |
| variable "arch_name"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "asm_file"
 | |
| value "beamer.asm"
 | |
| )
 | |
| (vvPair
 | |
| variable "concat_file"
 | |
| value "concatenated"
 | |
| )
 | |
| (vvPair
 | |
| variable "config"
 | |
| value "%(unit)_%(view)_config"
 | |
| )
 | |
| (vvPair
 | |
| variable "d"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter"
 | |
| )
 | |
| (vvPair
 | |
| variable "d_logical"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unitCounter"
 | |
| )
 | |
| (vvPair
 | |
| variable "date"
 | |
| value "03/29/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "day"
 | |
| value "Fri"
 | |
| )
 | |
| (vvPair
 | |
| variable "day_long"
 | |
| value "Friday"
 | |
| )
 | |
| (vvPair
 | |
| variable "dd"
 | |
| value "29"
 | |
| )
 | |
| (vvPair
 | |
| variable "designName"
 | |
| value "$DESIGN_NAME"
 | |
| )
 | |
| (vvPair
 | |
| variable "entity_name"
 | |
| value "unitCounter"
 | |
| )
 | |
| (vvPair
 | |
| variable "ext"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "f"
 | |
| value "symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_logical"
 | |
| value "symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_noext"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_author"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_date"
 | |
| value "03/29/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_time"
 | |
| value "09:13:01"
 | |
| )
 | |
| (vvPair
 | |
| variable "group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "language"
 | |
| value "VHDL"
 | |
| )
 | |
| (vvPair
 | |
| variable "library"
 | |
| value "Morse"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_Generic_1_file"
 | |
| value "U:\\SEm_curves\\Synthesis"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSim"
 | |
| value "D:\\Users\\ELN_labs\\VHDL_comp"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSimCompiler"
 | |
| value "$SCRATCH_DIR/Morse"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_SpyGlass"
 | |
| value "U:\\SEm_curves\\Synthesis"
 | |
| )
 | |
| (vvPair
 | |
| variable "mm"
 | |
| value "03"
 | |
| )
 | |
| (vvPair
 | |
| variable "module_name"
 | |
| value "unitCounter"
 | |
| )
 | |
| (vvPair
 | |
| variable "month"
 | |
| value "Mar"
 | |
| )
 | |
| (vvPair
 | |
| variable "month_long"
 | |
| value "March"
 | |
| )
 | |
| (vvPair
 | |
| variable "p"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "p_logical"
 | |
| value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unitCounter/symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "package_name"
 | |
| value "<Undefined Variable>"
 | |
| )
 | |
| (vvPair
 | |
| variable "project_name"
 | |
| value "morse"
 | |
| )
 | |
| (vvPair
 | |
| variable "series"
 | |
| value "HDL Designer Series"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ADMS"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_AsmPath"
 | |
| value "$HEI_LIBS_DIR/NanoBlaze/hdl"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_DesignCompilerPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_HDSPath"
 | |
| value "$HDS_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEBinPath"
 | |
| value "$ISE_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEPath"
 | |
| value "$ISE_WORK_DIR"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_LeonardoPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ModelSimPath"
 | |
| value "$MODELSIM_HOME/modeltech/bin"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_NC"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_PrecisionRTLPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_QuestaSimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_VCSPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_ext"
 | |
| value "sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file_logical"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "time"
 | |
| value "09:13:01"
 | |
| )
 | |
| (vvPair
 | |
| variable "unit"
 | |
| value "unitCounter"
 | |
| )
 | |
| (vvPair
 | |
| variable "user"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "version"
 | |
| value "2018.1 (Build 12)"
 | |
| )
 | |
| (vvPair
 | |
| variable "view"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "year"
 | |
| value "2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "yy"
 | |
| value "19"
 | |
| )
 | |
| ]
 | |
| )
 | |
| LanguageMgr "Vhdl2008LangMgr"
 | |
| uid 51,0
 | |
| optionalChildren [
 | |
| *65 (SymbolBody
 | |
| uid 8,0
 | |
| optionalChildren [
 | |
| *66 (CptPort
 | |
| uid 310,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 347,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "39250,17625,40000,18375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 312,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 313,0
 | |
| va (VaSet
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "41000,17400,44400,18600"
 | |
| st "clock"
 | |
| blo "41000,18400"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 314,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,8000,20000,8900"
 | |
| st "clock        : IN     std_ulogic  ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 2008,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *67 (CptPort
 | |
| uid 315,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 316,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "39250,19625,40000,20375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 317,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 318,0
 | |
| va (VaSet
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "41000,19400,44300,20600"
 | |
| st "reset"
 | |
| blo "41000,20400"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 319,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,8900,20000,9800"
 | |
| st "reset        : IN     std_ulogic  ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 2009,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *68 (CptPort
 | |
| uid 671,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 741,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "39250,11625,40000,12375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 673,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 674,0
 | |
| va (VaSet
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "41000,11400,48800,12600"
 | |
| st "startCounter"
 | |
| blo "41000,12400"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 675,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,9800,20000,10700"
 | |
| st "startCounter : IN     std_ulogic  ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "startCounter"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 2012,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *69 (CptPort
 | |
| uid 676,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 742,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "39250,13625,40000,14375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 678,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 679,0
 | |
| va (VaSet
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "41000,13400,45000,14600"
 | |
| st "unitNb"
 | |
| blo "41000,14400"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 680,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,10700,32500,11600"
 | |
| st "unitNb       : IN     unsigned (unitCountBitNb-1 downto 0) ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "unitNb"
 | |
| t "unsigned"
 | |
| b "(unitCountBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 2013,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *70 (CptPort
 | |
| uid 681,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 743,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "56000,11625,56750,12375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 683,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 684,0
 | |
| va (VaSet
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "51900,11400,55000,12600"
 | |
| st "done"
 | |
| ju 2
 | |
| blo "55000,12400"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 685,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,11600,19000,12500"
 | |
| st "done         : OUT    std_ulogic "
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "done"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 2014,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 308,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| bg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "40000,8000,56000,22000"
 | |
| )
 | |
| oxt "15000,6000,35000,26000"
 | |
| biTextGroup (BiTextGroup
 | |
| uid 10,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 11,0
 | |
| va (VaSet
 | |
| font "courier,9,1"
 | |
| )
 | |
| xt "40600,21800,43100,22700"
 | |
| st "Morse"
 | |
| blo "40600,22500"
 | |
| )
 | |
| second (Text
 | |
| uid 12,0
 | |
| va (VaSet
 | |
| font "courier,9,1"
 | |
| )
 | |
| xt "40600,22700,46600,23600"
 | |
| st "unitCounter"
 | |
| blo "40600,23400"
 | |
| )
 | |
| )
 | |
| gi *71 (GenericInterface
 | |
| uid 13,0
 | |
| ps "CenterOffsetStrategy"
 | |
| matrix (Matrix
 | |
| uid 14,0
 | |
| text (MLText
 | |
| uid 15,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "40000,25600,56000,29200"
 | |
| st "Generic Declarations
 | |
| 
 | |
| unitCountDivide positive 10E3  
 | |
| unitCountBitNb  positive 3     "
 | |
| )
 | |
| header "Generic Declarations"
 | |
| showHdrWhenContentsEmpty 1
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "unitCountDivide"
 | |
| type "positive"
 | |
| value "10E3"
 | |
| )
 | |
| (GiElement
 | |
| name "unitCountBitNb"
 | |
| type "positive"
 | |
| value "3"
 | |
| )
 | |
| ]
 | |
| )
 | |
| portInstanceVisAsIs 1
 | |
| portInstanceVis (PortSigDisplay
 | |
| sTC 0
 | |
| )
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| )
 | |
| *72 (Grouping
 | |
| uid 16,0
 | |
| optionalChildren [
 | |
| *73 (CommentText
 | |
| uid 18,0
 | |
| shape (Rectangle
 | |
| uid 19,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "36000,48000,53000,49000"
 | |
| )
 | |
| oxt "18000,70000,35000,71000"
 | |
| text (MLText
 | |
| uid 20,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "36200,48000,53000,49000"
 | |
| st "
 | |
| by %user on %dd %month %year
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *74 (CommentText
 | |
| uid 21,0
 | |
| shape (Rectangle
 | |
| uid 22,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "53000,44000,57000,45000"
 | |
| )
 | |
| oxt "35000,66000,39000,67000"
 | |
| text (MLText
 | |
| uid 23,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "53200,44000,56800,45000"
 | |
| st "
 | |
| Project:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *75 (CommentText
 | |
| uid 24,0
 | |
| shape (Rectangle
 | |
| uid 25,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "36000,46000,53000,47000"
 | |
| )
 | |
| oxt "18000,68000,35000,69000"
 | |
| text (MLText
 | |
| uid 26,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "36200,46000,52400,47000"
 | |
| st "
 | |
| <enter diagram title here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *76 (CommentText
 | |
| uid 27,0
 | |
| shape (Rectangle
 | |
| uid 28,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "32000,46000,36000,47000"
 | |
| )
 | |
| oxt "14000,68000,18000,69000"
 | |
| text (MLText
 | |
| uid 29,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "32200,46000,35800,47000"
 | |
| st "
 | |
| Title:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *77 (CommentText
 | |
| uid 30,0
 | |
| shape (Rectangle
 | |
| uid 31,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "53000,45000,73000,49000"
 | |
| )
 | |
| oxt "35000,67000,55000,71000"
 | |
| text (MLText
 | |
| uid 32,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "53200,45200,66400,46200"
 | |
| st "
 | |
| <enter comments here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4000
 | |
| visibleWidth 20000
 | |
| )
 | |
| ignorePrefs 1
 | |
| )
 | |
| *78 (CommentText
 | |
| uid 33,0
 | |
| shape (Rectangle
 | |
| uid 34,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "57000,44000,73000,45000"
 | |
| )
 | |
| oxt "39000,66000,55000,67000"
 | |
| text (MLText
 | |
| uid 35,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "57200,44000,72800,45000"
 | |
| st "
 | |
| <enter project name here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 16000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *79 (CommentText
 | |
| uid 36,0
 | |
| shape (Rectangle
 | |
| uid 37,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "32000,44000,53000,46000"
 | |
| )
 | |
| oxt "14000,66000,35000,68000"
 | |
| text (MLText
 | |
| uid 38,0
 | |
| va (VaSet
 | |
| fg "32768,0,0"
 | |
| )
 | |
| xt "38000,44500,47000,45500"
 | |
| st "
 | |
| <company name>
 | |
| "
 | |
| ju 0
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 2000
 | |
| visibleWidth 21000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *80 (CommentText
 | |
| uid 39,0
 | |
| shape (Rectangle
 | |
| uid 40,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "32000,47000,36000,48000"
 | |
| )
 | |
| oxt "14000,69000,18000,70000"
 | |
| text (MLText
 | |
| uid 41,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "32200,47000,35200,48000"
 | |
| st "
 | |
| Path:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *81 (CommentText
 | |
| uid 42,0
 | |
| shape (Rectangle
 | |
| uid 43,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "32000,48000,36000,49000"
 | |
| )
 | |
| oxt "14000,70000,18000,71000"
 | |
| text (MLText
 | |
| uid 44,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "32200,48000,35800,49000"
 | |
| st "
 | |
| Edited:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *82 (CommentText
 | |
| uid 45,0
 | |
| shape (Rectangle
 | |
| uid 46,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "36000,47000,53000,48000"
 | |
| )
 | |
| oxt "18000,69000,35000,70000"
 | |
| text (MLText
 | |
| uid 47,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "36200,47000,51200,48000"
 | |
| st "
 | |
| %library/%unit/%view
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| ]
 | |
| shape (GroupingShape
 | |
| uid 17,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineStyle 2
 | |
| lineWidth 2
 | |
| )
 | |
| xt "32000,44000,73000,49000"
 | |
| )
 | |
| oxt "14000,66000,55000,71000"
 | |
| )
 | |
| ]
 | |
| bg "65535,65535,65535"
 | |
| grid (Grid
 | |
| origin "0,0"
 | |
| isVisible 1
 | |
| isActive 1
 | |
| xSpacing 1000
 | |
| xySpacing 1000
 | |
| xShown 1
 | |
| yShown 1
 | |
| color "26368,26368,26368"
 | |
| )
 | |
| packageList *83 (PackageList
 | |
| uid 48,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *84 (Text
 | |
| uid 49,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,0,5400,1000"
 | |
| st "Package List"
 | |
| blo "0,800"
 | |
| )
 | |
| *85 (MLText
 | |
| uid 50,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,1000,18600,4000"
 | |
| st "LIBRARY ieee;
 | |
|   USE ieee.std_logic_1164.all;
 | |
|   USE ieee.numeric_std.all;"
 | |
| tm "PackageList"
 | |
| )
 | |
| ]
 | |
| )
 | |
| windowSize "99,41,1397,886"
 | |
| viewArea "-1100,-1100,74430,48110"
 | |
| cachedDiagramExtent "0,0,73000,49000"
 | |
| pageSetupInfo (PageSetupInfo
 | |
| ptrCmd ""
 | |
| toPrinter 1
 | |
| xMargin 49
 | |
| yMargin 49
 | |
| windowsPaperWidth 761
 | |
| windowsPaperHeight 1077
 | |
| paperType "Letter (8.5\" x 11\")"
 | |
| windowsPaperName "A4"
 | |
| exportedDirectories [
 | |
| "$HDS_PROJECT_DIR/HTMLExport"
 | |
| ]
 | |
| boundaryWidth 0
 | |
| )
 | |
| hasePageBreakOrigin 1
 | |
| pageBreakOrigin "0,0"
 | |
| defaultCommentText (CommentText
 | |
| shape (Rectangle
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,15000,5000"
 | |
| )
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| font "courier,9,0"
 | |
| )
 | |
| xt "200,200,2200,1100"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4600
 | |
| visibleWidth 14600
 | |
| )
 | |
| )
 | |
| defaultRequirementText (RequirementText
 | |
| shape (ZoomableIcon
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,1500,1750"
 | |
| iconName "reqTracerRequirement.bmp"
 | |
| iconMaskName "reqTracerRequirement.msk"
 | |
| )
 | |
| autoResize 1
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "450,2150,1450,3050"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "RequirementText"
 | |
| wrapOption 3
 | |
| visibleHeight 1350
 | |
| visibleWidth 1100
 | |
| )
 | |
| )
 | |
| defaultPanel (Panel
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "32768,0,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,9,1"
 | |
| )
 | |
| xt "1000,1000,4400,2200"
 | |
| st "Panel0"
 | |
| blo "1000,2000"
 | |
| tm "PanelText"
 | |
| )
 | |
| )
 | |
| )
 | |
| parentGraphicsRef (HdmGraphicsRef
 | |
| libraryName ""
 | |
| entityName ""
 | |
| viewName ""
 | |
| )
 | |
| defaultSymbolBody (SymbolBody
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "15000,6000,35000,26000"
 | |
| )
 | |
| biTextGroup (BiTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| va (VaSet
 | |
| font "courier,9,1"
 | |
| )
 | |
| xt "22600,14800,27400,16000"
 | |
| st "<library>"
 | |
| blo "22600,15800"
 | |
| )
 | |
| second (Text
 | |
| va (VaSet
 | |
| font "courier,9,1"
 | |
| )
 | |
| xt "22600,16000,25900,17200"
 | |
| st "<cell>"
 | |
| blo "22600,17000"
 | |
| )
 | |
| )
 | |
| gi *86 (GenericInterface
 | |
| ps "CenterOffsetStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,12000,0,12000"
 | |
| )
 | |
| header "Generic Declarations"
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| portInstanceVisAsIs 1
 | |
| portInstanceVis (PortSigDisplay
 | |
| )
 | |
| )
 | |
| defaultCptPort (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,750,1500,1650"
 | |
| st "In0"
 | |
| blo "0,1450"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "In0"
 | |
| t "std_logic_vector"
 | |
| b "(15 DOWNTO 0)"
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultCptPortBuffer (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Diamond
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,750,3500,1650"
 | |
| st "Buffer0"
 | |
| blo "0,1450"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 11
 | |
| m 3
 | |
| decl (Decl
 | |
| n "Buffer0"
 | |
| t "std_logic_vector"
 | |
| b "(15 DOWNTO 0)"
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| DeclarativeBlock *87 (SymDeclBlock
 | |
| uid 1,0
 | |
| stg "SymDeclLayoutStrategy"
 | |
| declLabel (Text
 | |
| uid 2,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,6000,5400,7000"
 | |
| st "Declarations"
 | |
| blo "0,6800"
 | |
| )
 | |
| portLabel (Text
 | |
| uid 3,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,7000,2700,8000"
 | |
| st "Ports:"
 | |
| blo "0,7800"
 | |
| )
 | |
| externalLabel (Text
 | |
| uid 4,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,12500,2500,13400"
 | |
| st "User:"
 | |
| blo "0,13200"
 | |
| )
 | |
| internalLabel (Text
 | |
| uid 6,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,6000,5800,7000"
 | |
| st "Internal User:"
 | |
| blo "0,6800"
 | |
| )
 | |
| externalText (MLText
 | |
| uid 5,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "2000,13400,2000,13400"
 | |
| tm "SyDeclarativeTextMgr"
 | |
| )
 | |
| internalText (MLText
 | |
| uid 7,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,6000,0,6000"
 | |
| tm "SyDeclarativeTextMgr"
 | |
| )
 | |
| )
 | |
| lastUid 766,0
 | |
| okToSyncOnLoad 1
 | |
| OkToSyncGenericsOnLoad 1
 | |
| activeModelName "Symbol"
 | |
| )
 |