11075 lines
		
	
	
		
			138 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
			
		
		
	
	
			11075 lines
		
	
	
		
			138 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
| DocumentHdrVersion "1.1"
 | |
| Header (DocumentHdr
 | |
| version 2
 | |
| dialect 11
 | |
| dmPackageRefs [
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "std_logic_1164"
 | |
| )
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "numeric_std"
 | |
| )
 | |
| ]
 | |
| machine (Machine
 | |
| name "csm"
 | |
| children [
 | |
| (Machine
 | |
| name "csm"
 | |
| children [
 | |
| ]
 | |
| stateSignalName "current_state"
 | |
| )
 | |
| ]
 | |
| )
 | |
| libraryRefs [
 | |
| "ieee"
 | |
| ]
 | |
| )
 | |
| version "26.1"
 | |
| appVersion "2018.1 (Build 12)"
 | |
| model (StateMachine
 | |
| VExpander (VariableExpander
 | |
| vvMap [
 | |
| (vvPair
 | |
| variable "HDLDir"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl"
 | |
| )
 | |
| (vvPair
 | |
| variable "HDSDir"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataDesignDir"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.info"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataUserDir"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.user"
 | |
| )
 | |
| (vvPair
 | |
| variable "SourceDir"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "appl"
 | |
| value "HDL Designer"
 | |
| )
 | |
| (vvPair
 | |
| variable "arch_name"
 | |
| value "fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "concat_file"
 | |
| value "concatenated"
 | |
| )
 | |
| (vvPair
 | |
| variable "config"
 | |
| value "%(unit)_%(view)_config"
 | |
| )
 | |
| (vvPair
 | |
| variable "d"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "d_logical"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "date"
 | |
| value "08/28/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "day"
 | |
| value "Wed"
 | |
| )
 | |
| (vvPair
 | |
| variable "day_long"
 | |
| value "Wednesday"
 | |
| )
 | |
| (vvPair
 | |
| variable "dd"
 | |
| value "28"
 | |
| )
 | |
| (vvPair
 | |
| variable "designName"
 | |
| value "$DESIGN_NAME"
 | |
| )
 | |
| (vvPair
 | |
| variable "entity_name"
 | |
| value "sdramControllerFsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "ext"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "f"
 | |
| value "fsm.sm"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_logical"
 | |
| value "fsm.sm"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_noext"
 | |
| value "fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_author"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_date"
 | |
| value "08/28/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_time"
 | |
| value "13:45:15"
 | |
| )
 | |
| (vvPair
 | |
| variable "group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "language"
 | |
| value "VHDL"
 | |
| )
 | |
| (vvPair
 | |
| variable "library"
 | |
| value "Memory"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSimCompiler"
 | |
| value "$SCRATCH_DIR/Libs/Memory/work"
 | |
| )
 | |
| (vvPair
 | |
| variable "mm"
 | |
| value "08"
 | |
| )
 | |
| (vvPair
 | |
| variable "module_name"
 | |
| value "sdramControllerFsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "month"
 | |
| value "Aug"
 | |
| )
 | |
| (vvPair
 | |
| variable "month_long"
 | |
| value "August"
 | |
| )
 | |
| (vvPair
 | |
| variable "p"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm"
 | |
| )
 | |
| (vvPair
 | |
| variable "p_logical"
 | |
| value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/fsm.sm"
 | |
| )
 | |
| (vvPair
 | |
| variable "package_name"
 | |
| value "<Undefined Variable>"
 | |
| )
 | |
| (vvPair
 | |
| variable "project_name"
 | |
| value "hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "series"
 | |
| value "HDL Designer Series"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_DesignCompilerPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_HDSPath"
 | |
| value "$HDS_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEBinPath"
 | |
| value "$ISE_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEPath"
 | |
| value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_LeonardoPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ModelSimPath"
 | |
| value "$MODELSIM_HOME\\win32"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_NC-SimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_PrecisionRTLPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_QuestaSimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_VCSPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_ext"
 | |
| value "sm"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file"
 | |
| value "fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file_logical"
 | |
| value "fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "time"
 | |
| value "13:45:15"
 | |
| )
 | |
| (vvPair
 | |
| variable "unit"
 | |
| value "sdramControllerFsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "user"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "version"
 | |
| value "2018.1 (Build 12)"
 | |
| )
 | |
| (vvPair
 | |
| variable "view"
 | |
| value "fsm"
 | |
| )
 | |
| (vvPair
 | |
| variable "year"
 | |
| value "2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "yy"
 | |
| value "19"
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 156,0
 | |
| optionalChildren [
 | |
| *1 (ConcurrentSM
 | |
| uid 1,0
 | |
| topDiagram (StateDiagram
 | |
| LanguageMgr "None"
 | |
| uid 2,0
 | |
| optionalChildren [
 | |
| *2 (State
 | |
| uid 39,0
 | |
| shape (Circle
 | |
| uid 40,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "6000,24000,14000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 41,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "7500,27400,12500,28600"
 | |
| st "powerUp"
 | |
| ju 0
 | |
| blo "10000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 42,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 43,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "10100,28300,13700,29500"
 | |
| st "wait 2"
 | |
| blo "10100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 44,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "10000,29000,10000,29000"
 | |
| blo "10000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 47,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 48,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "7900,29400,16100,30600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 49,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "8000,29300,16000,29300"
 | |
| pts [
 | |
| "8000,29300"
 | |
| "16000,29300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 50,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "8000,28650,16000,28650"
 | |
| pts [
 | |
| "8000,28650"
 | |
| "16000,28650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 51,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "7550,28925,7900,29275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 52,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "7550,26625,7900,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 53,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,29100,8000,29100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 54,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,29500,20000,30500"
 | |
| st "powerUpDone <= '0';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 55,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,26800,8000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 45,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 46,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "8400,29000,13900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *3 (SmClockPoint
 | |
| uid 56,0
 | |
| shape (CompositeShape
 | |
| uid 57,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 58,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "3250,10500,5500,11500"
 | |
| )
 | |
| (OrthoPolyLine
 | |
| uid 59,0
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "4150,10700,4749,11300"
 | |
| pts [
 | |
| "4150,10700"
 | |
| "4449,10700"
 | |
| "4449,11300"
 | |
| "4749,11300"
 | |
| ]
 | |
| )
 | |
| (Arc2D
 | |
| pts [
 | |
| "3759,11153"
 | |
| "3504,10847"
 | |
| "3759,10847"
 | |
| ]
 | |
| uid 60,0
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| )
 | |
| xt "3431,10800,3759,11199"
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 61,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 62,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "650,10500,2750,11500"
 | |
| st "clock"
 | |
| ju 2
 | |
| blo "2750,11300"
 | |
| tm "SmControlSignalNameMgr"
 | |
| )
 | |
| )
 | |
| cond (SmControlCondition
 | |
| uid 63,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 64,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "5500,10450,15200,11550"
 | |
| )
 | |
| autoResize 1
 | |
| cond (MLText
 | |
| uid 65,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "5600,10550,15100,11450"
 | |
| st "rising_edge(clock)"
 | |
| tm "SmControlConditionMgr"
 | |
| )
 | |
| )
 | |
| edge 2
 | |
| )
 | |
| *4 (SmResetPoint
 | |
| uid 66,0
 | |
| shape (CompositeShape
 | |
| uid 67,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 68,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "3250,14500,5500,15500"
 | |
| )
 | |
| (OrthoPolyLine
 | |
| uid 69,0
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "4150,14700,4749,15300"
 | |
| pts [
 | |
| "4749,14700"
 | |
| "4449,14700"
 | |
| "4449,15300"
 | |
| "4150,15300"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| uid 70,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "3499,14825,3599,14875"
 | |
| pts [
 | |
| "3499,14875"
 | |
| "3599,14825"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| uid 71,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "3499,14825,3499,15175"
 | |
| pts [
 | |
| "3499,15175"
 | |
| "3499,14825"
 | |
| ]
 | |
| )
 | |
| (Circle
 | |
| uid 72,0
 | |
| layer 10
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| xt "4299,14850,4599,15150"
 | |
| radius 150
 | |
| )
 | |
| ]
 | |
| )
 | |
| cond (SmControlCondition
 | |
| uid 78,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 79,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "1650,12950,8050,14050"
 | |
| )
 | |
| autoResize 1
 | |
| cond (MLText
 | |
| uid 80,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "1750,13050,7950,13950"
 | |
| st "reset = '1'"
 | |
| tm "SmControlConditionMgr"
 | |
| )
 | |
| )
 | |
| prio (TransitionPriority
 | |
| uid 75,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 76,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "5500,14219,7062,15781"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 77,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5881,14500,6681,15500"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "6281,15300"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 73,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 74,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "1150,14500,3250,15500"
 | |
| st "reset"
 | |
| ju 2
 | |
| blo "3250,15300"
 | |
| tm "SmControlSignalNameMgr"
 | |
| )
 | |
| )
 | |
| actions (TextAssociate
 | |
| uid 81,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 82,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "11125,19125,18125,20025"
 | |
| st "< Automatic >"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| level 1
 | |
| )
 | |
| *5 (Link
 | |
| uid 83,0
 | |
| shape (CompositeShape
 | |
| uid 84,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| bg "0,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 85,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "13500,14500,15750,15500"
 | |
| )
 | |
| (Line
 | |
| uid 86,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "13000,15000,13500,15000"
 | |
| pts [
 | |
| "13000,15000"
 | |
| "13500,15000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 87,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 88,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "16250,14500,19950,15500"
 | |
| st "powerUp"
 | |
| blo "16250,15300"
 | |
| tm "LinkName"
 | |
| )
 | |
| )
 | |
| )
 | |
| *6 (SmRecoveryStatePoint
 | |
| uid 89,0
 | |
| shape (CompositeShape
 | |
| uid 90,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Circle
 | |
| uid 91,0
 | |
| sl 0
 | |
| xt "3250,12100,5050,13900"
 | |
| radius 900
 | |
| )
 | |
| (Line
 | |
| uid 92,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineColor "65535,65535,0"
 | |
| lineWidth 1
 | |
| )
 | |
| xt "3724,12574,4576,13426"
 | |
| pts [
 | |
| "3724,13426"
 | |
| "4576,12574"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| uid 93,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineColor "65535,65535,0"
 | |
| lineWidth 1
 | |
| )
 | |
| xt "3724,12574,4576,13426"
 | |
| pts [
 | |
| "4576,13426"
 | |
| "3724,12574"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| *7 (Link
 | |
| uid 94,0
 | |
| shape (CompositeShape
 | |
| uid 95,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| bg "0,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 96,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "13500,12500,15750,13500"
 | |
| )
 | |
| (Line
 | |
| uid 97,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "13000,13000,13500,13000"
 | |
| pts [
 | |
| "13000,13000"
 | |
| "13500,13000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 98,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 99,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "16250,12500,19950,13500"
 | |
| st "powerUp"
 | |
| blo "16250,13300"
 | |
| tm "LinkName"
 | |
| )
 | |
| )
 | |
| )
 | |
| *8 (Grouping
 | |
| uid 120,0
 | |
| optionalChildren [
 | |
| *9 (CommentText
 | |
| uid 122,0
 | |
| shape (Rectangle
 | |
| uid 123,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "110000,96000,127000,97000"
 | |
| )
 | |
| oxt "18000,70000,35000,71000"
 | |
| text (MLText
 | |
| uid 124,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "110200,96000,125200,97000"
 | |
| st "
 | |
| by %user on %dd %month %year
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *10 (CommentText
 | |
| uid 125,0
 | |
| shape (Rectangle
 | |
| uid 126,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "127000,92000,131000,93000"
 | |
| )
 | |
| oxt "35000,66000,39000,67000"
 | |
| text (MLText
 | |
| uid 127,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "127200,92000,130800,93000"
 | |
| st "
 | |
| Project:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *11 (CommentText
 | |
| uid 128,0
 | |
| shape (Rectangle
 | |
| uid 129,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "110000,94000,127000,95000"
 | |
| )
 | |
| oxt "18000,68000,35000,69000"
 | |
| text (MLText
 | |
| uid 130,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "110200,94000,126400,95000"
 | |
| st "
 | |
| <enter diagram title here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *12 (CommentText
 | |
| uid 131,0
 | |
| shape (Rectangle
 | |
| uid 132,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "106000,94000,110000,95000"
 | |
| )
 | |
| oxt "14000,68000,18000,69000"
 | |
| text (MLText
 | |
| uid 133,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "106200,94000,109800,95000"
 | |
| st "
 | |
| Title:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *13 (CommentText
 | |
| uid 134,0
 | |
| shape (Rectangle
 | |
| uid 135,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "127000,93000,147000,97000"
 | |
| )
 | |
| oxt "35000,67000,55000,71000"
 | |
| text (MLText
 | |
| uid 136,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "127200,93200,140400,94200"
 | |
| st "
 | |
| <enter comments here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4000
 | |
| visibleWidth 20000
 | |
| )
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *14 (CommentText
 | |
| uid 137,0
 | |
| shape (Rectangle
 | |
| uid 138,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "131000,92000,147000,93000"
 | |
| )
 | |
| oxt "39000,66000,55000,67000"
 | |
| text (MLText
 | |
| uid 139,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "131200,92000,133000,93000"
 | |
| st "
 | |
| %project_name
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 16000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *15 (CommentText
 | |
| uid 140,0
 | |
| shape (Rectangle
 | |
| uid 141,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "106000,92000,127000,94000"
 | |
| )
 | |
| oxt "14000,66000,35000,68000"
 | |
| text (MLText
 | |
| uid 142,0
 | |
| va (VaSet
 | |
| fg "32768,0,0"
 | |
| )
 | |
| xt "112000,92500,121000,93500"
 | |
| st "
 | |
| <company name>
 | |
| "
 | |
| ju 0
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 2000
 | |
| visibleWidth 21000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *16 (CommentText
 | |
| uid 143,0
 | |
| shape (Rectangle
 | |
| uid 144,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "106000,95000,110000,96000"
 | |
| )
 | |
| oxt "14000,69000,18000,70000"
 | |
| text (MLText
 | |
| uid 145,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "106200,95000,109200,96000"
 | |
| st "
 | |
| Path:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *17 (CommentText
 | |
| uid 146,0
 | |
| shape (Rectangle
 | |
| uid 147,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "106000,96000,110000,97000"
 | |
| )
 | |
| oxt "14000,70000,18000,71000"
 | |
| text (MLText
 | |
| uid 148,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "106200,96000,109800,97000"
 | |
| st "
 | |
| Edited:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *18 (CommentText
 | |
| uid 149,0
 | |
| shape (Rectangle
 | |
| uid 150,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "110000,95000,127000,96000"
 | |
| )
 | |
| oxt "18000,69000,35000,70000"
 | |
| text (MLText
 | |
| uid 151,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "110200,95000,126400,96000"
 | |
| st "
 | |
| %library/%unit/%view
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| ]
 | |
| shape (GroupingShape
 | |
| uid 121,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineStyle 2
 | |
| lineWidth 2
 | |
| )
 | |
| xt "106000,92000,147000,97000"
 | |
| )
 | |
| oxt "14000,66000,55000,71000"
 | |
| )
 | |
| *19 (State
 | |
| uid 229,0
 | |
| shape (Circle
 | |
| uid 230,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "22000,24000,30000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 231,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "23050,27400,28950,28600"
 | |
| st "initialize1"
 | |
| ju 0
 | |
| blo "26000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 232,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 233,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "26100,28300,29700,29500"
 | |
| st "wait 2"
 | |
| blo "26100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 234,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26000,29000,26000,29000"
 | |
| blo "26000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 237,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 238,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "23500,28400,34500,31600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 239,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23600,28300,34400,28300"
 | |
| pts [
 | |
| "23600,28300"
 | |
| "34400,28300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 240,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23600,29150,34400,29150"
 | |
| pts [
 | |
| "23600,29150"
 | |
| "34400,29150"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 241,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23150,27925,23500,28275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 242,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23150,26625,23500,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 243,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "23600,28100,23600,28100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 244,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "23600,28500,38600,31500"
 | |
| st "commandBus <= precharge;
 | |
| addrSelPrecharge <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 245,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "25000,26800,25000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 235,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 236,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "24400,29000,29900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *20 (State
 | |
| uid 285,0
 | |
| shape (Circle
 | |
| uid 286,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "38000,24000,46000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 287,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "39050,27400,44950,28600"
 | |
| st "initialize2"
 | |
| ju 0
 | |
| blo "42000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 288,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 289,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "42100,28300,45700,29500"
 | |
| st "wait 2"
 | |
| blo "42100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 290,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "42000,29000,42000,29000"
 | |
| blo "42000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 293,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 294,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "43900,29900,44100,30100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 295,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,29300,48850,29300"
 | |
| pts [
 | |
| "39150,29300"
 | |
| "48850,29300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 296,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,28650,48850,28650"
 | |
| pts [
 | |
| "39150,28650"
 | |
| "48850,28650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 297,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,28925,39900,29275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 298,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,26625,39900,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 299,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,29100,39150,29100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 300,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,29500,48850,30500"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 301,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "40000,26800,40000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 291,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 292,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "40400,29000,45900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *21 (State
 | |
| uid 334,0
 | |
| shape (Circle
 | |
| uid 335,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "54000,24000,62000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 336,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "55050,27400,60950,28600"
 | |
| st "initialize3"
 | |
| ju 0
 | |
| blo "58000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 337,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 338,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "58100,28300,61700,29500"
 | |
| st "wait 2"
 | |
| blo "58100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 339,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "58000,29000,58000,29000"
 | |
| blo "58000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 342,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 343,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "56200,28900,67800,31100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 344,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56300,28800,67700,28800"
 | |
| pts [
 | |
| "56300,28800"
 | |
| "67700,28800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 345,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56300,28900,67700,28900"
 | |
| pts [
 | |
| "56300,28900"
 | |
| "67700,28900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 346,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "55850,28425,56200,28775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 347,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "55850,26625,56200,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 348,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56300,28600,56300,28600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 349,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56300,29000,72500,31000"
 | |
| st "commandBus <= autoRefresh;
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 350,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "58000,26800,58000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 340,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 341,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "56400,29000,61900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *22 (State
 | |
| uid 361,0
 | |
| shape (Circle
 | |
| uid 362,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "70000,24000,78000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 363,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "71050,27400,76950,28600"
 | |
| st "initialize4"
 | |
| ju 0
 | |
| blo "74000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 364,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 365,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "74100,28300,77700,29500"
 | |
| st "wait 2"
 | |
| blo "74100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 366,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "74000,29000,74000,29000"
 | |
| blo "74000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 369,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 370,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "77900,29900,78100,30100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 371,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,28800,84300,28800"
 | |
| pts [
 | |
| "71700,28800"
 | |
| "84300,28800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 372,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,28900,84300,28900"
 | |
| pts [
 | |
| "71700,28900"
 | |
| "84300,28900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 373,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,28425,73900,28775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 374,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,26625,73900,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 375,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,28600,71700,28600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 376,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,29000,84300,31000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 377,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74000,26800,74000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 367,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 368,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "72400,29000,77900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *23 (State
 | |
| uid 378,0
 | |
| shape (Circle
 | |
| uid 379,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "86000,24000,94000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 380,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "87050,27400,92950,28600"
 | |
| st "initialize5"
 | |
| ju 0
 | |
| blo "90000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 381,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 382,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "90100,28300,93700,29500"
 | |
| st "wait 2"
 | |
| blo "90100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 383,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "90000,29000,90000,29000"
 | |
| blo "90000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 386,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 387,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "88200,28900,99800,31100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 388,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "88300,28800,99700,28800"
 | |
| pts [
 | |
| "88300,28800"
 | |
| "99700,28800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 389,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "88300,28900,99700,28900"
 | |
| pts [
 | |
| "88300,28900"
 | |
| "99700,28900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 390,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87850,28425,88200,28775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 391,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87850,26625,88200,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 392,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88300,28600,88300,28600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 393,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88300,29000,104500,31000"
 | |
| st "commandBus <= autoRefresh;
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 394,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "90000,26800,90000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 384,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 385,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "88400,29000,93900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *24 (State
 | |
| uid 415,0
 | |
| shape (Circle
 | |
| uid 416,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "102000,24000,110000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 417,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "103050,27400,108950,28600"
 | |
| st "initialize6"
 | |
| ju 0
 | |
| blo "106000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 418,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 419,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "106100,28300,109700,29500"
 | |
| st "wait 2"
 | |
| blo "106100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 420,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "106000,29000,106000,29000"
 | |
| blo "106000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 423,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 424,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "109900,29900,110100,30100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 425,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,28800,116300,28800"
 | |
| pts [
 | |
| "103700,28800"
 | |
| "116300,28800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 426,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,28900,116300,28900"
 | |
| pts [
 | |
| "103700,28900"
 | |
| "116300,28900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 427,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,28425,105900,28775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 428,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,26625,105900,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 429,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,28600,103700,28600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 430,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,29000,116300,31000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 431,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "106000,26800,106000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 421,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 422,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "104400,29000,109900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *25 (State
 | |
| uid 502,0
 | |
| shape (Circle
 | |
| uid 503,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "118000,24000,126000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 504,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "119050,27400,124950,28600"
 | |
| st "initialize7"
 | |
| ju 0
 | |
| blo "122000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 505,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 506,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "122100,28300,125700,29500"
 | |
| st "wait 2"
 | |
| blo "122100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 507,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "122000,29000,122000,29000"
 | |
| blo "122000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 510,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 511,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "119850,28400,132150,31600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 512,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "119950,28300,132050,28300"
 | |
| pts [
 | |
| "119950,28300"
 | |
| "132050,28300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 513,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "119950,29150,132050,29150"
 | |
| pts [
 | |
| "119950,29150"
 | |
| "132050,29150"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 514,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "119500,27925,119850,28275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 515,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "119500,26625,119850,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 516,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "119950,28100,119950,28100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 517,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "119950,28500,136150,31500"
 | |
| st "commandBus <= loadModeReg;
 | |
| timerStart <= '1';
 | |
| addrSelModeReg <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 518,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "122000,26800,122000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 508,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 509,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "120400,29000,125900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *26 (State
 | |
| uid 531,0
 | |
| shape (Circle
 | |
| uid 532,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "134000,24000,142000,32000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 533,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "135050,27400,140950,28600"
 | |
| st "initialize8"
 | |
| ju 0
 | |
| blo "138000,28400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 534,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 535,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "138100,28300,141700,29500"
 | |
| st "wait 2"
 | |
| blo "138100,29300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 536,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "138000,29000,138000,29000"
 | |
| blo "138000,29000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 539,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 540,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "141900,29900,142100,30100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 541,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "135700,28800,148300,28800"
 | |
| pts [
 | |
| "135700,28800"
 | |
| "148300,28800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 542,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "135700,28900,148300,28900"
 | |
| pts [
 | |
| "135700,28900"
 | |
| "148300,28900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 543,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "137550,28425,137900,28775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 544,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "137550,26625,137900,26975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 545,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "135700,28600,135700,28600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 546,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "135700,29000,148300,31000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 547,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "138000,26800,138000,26800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 537,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 538,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "136400,29000,141900,29900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *27 (State
 | |
| uid 558,0
 | |
| shape (Circle
 | |
| uid 559,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "6000,40000,14000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 560,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "8800,43400,11200,44600"
 | |
| st "idle"
 | |
| ju 0
 | |
| blo "10000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 561,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 562,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "10100,44300,13700,45500"
 | |
| st "wait 2"
 | |
| blo "10100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 563,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "10000,45000,10000,45000"
 | |
| blo "10000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 566,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 567,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "11900,45900,12100,46100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 568,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "8000,45300,16000,45300"
 | |
| pts [
 | |
| "8000,45300"
 | |
| "16000,45300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 569,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "8000,44650,16000,44650"
 | |
| pts [
 | |
| "8000,44650"
 | |
| "16000,44650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 570,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "7550,44925,7900,45275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 571,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "7550,42625,7900,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 572,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,45100,8000,45100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 573,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,45500,16000,46500"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 574,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "8000,42800,8000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 564,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 565,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "8400,45000,13900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *28 (Junction
 | |
| uid 575,0
 | |
| shape (Diamond
 | |
| uid 576,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "8350,34350,11650,37650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 577,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "9350,35400,10650,36600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "10000,36400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 578,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 579,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "11500,36500,11500,36500"
 | |
| blo "11500,36500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 580,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 581,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "7650,37500,13150,38400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *29 (Junction
 | |
| uid 592,0
 | |
| shape (Diamond
 | |
| uid 593,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "136350,34350,139650,37650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 594,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "137350,35400,138650,36600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "138000,36400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 595,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 596,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "139500,36500,139500,36500"
 | |
| blo "139500,36500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 597,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 598,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "135650,37500,141150,38400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *30 (State
 | |
| uid 629,0
 | |
| shape (Circle
 | |
| uid 630,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "22000,40000,30000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 631,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "23650,43400,28350,44600"
 | |
| st "refresh1"
 | |
| ju 0
 | |
| blo "26000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 632,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 633,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "26100,44300,29700,45500"
 | |
| st "wait 2"
 | |
| blo "26100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 634,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26000,45000,26000,45000"
 | |
| blo "26000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 637,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 638,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "23500,45400,34500,48600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 639,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23600,45300,34400,45300"
 | |
| pts [
 | |
| "23600,45300"
 | |
| "34400,45300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 640,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23600,46150,34400,46150"
 | |
| pts [
 | |
| "23600,46150"
 | |
| "34400,46150"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 641,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23150,44925,23500,45275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 642,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23150,43625,23500,43975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 643,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "23600,45100,23600,45100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 644,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "23600,45500,38600,48500"
 | |
| st "commandBus <= precharge;
 | |
| addrSelPrecharge <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 645,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "25000,43800,25000,43800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 635,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 636,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "24400,45000,29900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *31 (State
 | |
| uid 656,0
 | |
| shape (Circle
 | |
| uid 657,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "38000,40000,46000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 658,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "39650,43400,44350,44600"
 | |
| st "refresh2"
 | |
| ju 0
 | |
| blo "42000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 659,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 660,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "42100,44300,45700,45500"
 | |
| st "wait 2"
 | |
| blo "42100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 661,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "42000,45000,42000,45000"
 | |
| blo "42000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 664,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 665,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "43900,45900,44100,46100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 666,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,45300,48850,45300"
 | |
| pts [
 | |
| "39150,45300"
 | |
| "48850,45300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 667,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,44650,48850,44650"
 | |
| pts [
 | |
| "39150,44650"
 | |
| "48850,44650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 668,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,44925,39900,45275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 669,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,42625,39900,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 670,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,45100,39150,45100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 671,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,45500,48850,46500"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 672,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "40000,42800,40000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 662,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 663,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "40400,45000,45900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *32 (State
 | |
| uid 673,0
 | |
| shape (Circle
 | |
| uid 674,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "54000,40000,62000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 675,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "55650,43400,60350,44600"
 | |
| st "refresh3"
 | |
| ju 0
 | |
| blo "58000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 676,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 677,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "58100,44300,61700,45500"
 | |
| st "wait 2"
 | |
| blo "58100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 678,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "58000,45000,58000,45000"
 | |
| blo "58000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 681,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 682,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "56200,44900,67800,47100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 683,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56300,44800,67700,44800"
 | |
| pts [
 | |
| "56300,44800"
 | |
| "67700,44800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 684,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56300,44900,67700,44900"
 | |
| pts [
 | |
| "56300,44900"
 | |
| "67700,44900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 685,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "55850,44425,56200,44775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 686,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "55850,42625,56200,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 687,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56300,44600,56300,44600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 688,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56300,45000,72500,47000"
 | |
| st "commandBus <= autoRefresh;
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 689,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "58000,42800,58000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 679,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 680,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "56400,45000,61900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *33 (State
 | |
| uid 690,0
 | |
| shape (Circle
 | |
| uid 691,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "70000,40000,78000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 692,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "71650,43400,76350,44600"
 | |
| st "refresh4"
 | |
| ju 0
 | |
| blo "74000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 693,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 694,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "74100,44300,77700,45500"
 | |
| st "wait 2"
 | |
| blo "74100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 695,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "74000,45000,74000,45000"
 | |
| blo "74000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 698,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 699,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "77900,45900,78100,46100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 700,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,44800,84300,44800"
 | |
| pts [
 | |
| "71700,44800"
 | |
| "84300,44800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 701,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,44900,84300,44900"
 | |
| pts [
 | |
| "71700,44900"
 | |
| "84300,44900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 702,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,44425,73900,44775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 703,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,42625,73900,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 704,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,44600,71700,44600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 705,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,45000,84300,47000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 706,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74000,42800,74000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 696,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 697,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "72400,45000,77900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *34 (State
 | |
| uid 707,0
 | |
| shape (Circle
 | |
| uid 708,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "86000,40000,94000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 709,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "87650,43400,92350,44600"
 | |
| st "refresh5"
 | |
| ju 0
 | |
| blo "90000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 710,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 711,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "90100,44300,93700,45500"
 | |
| st "wait 2"
 | |
| blo "90100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 712,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "90000,45000,90000,45000"
 | |
| blo "90000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 715,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 716,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "88200,44900,99800,47100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 717,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "88300,44800,99700,44800"
 | |
| pts [
 | |
| "88300,44800"
 | |
| "99700,44800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 718,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "88300,44900,99700,44900"
 | |
| pts [
 | |
| "88300,44900"
 | |
| "99700,44900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 719,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87850,44425,88200,44775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 720,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87850,42625,88200,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 721,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88300,44600,88300,44600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 722,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88300,45000,104500,47000"
 | |
| st "commandBus <= autoRefresh;
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 723,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "90000,42800,90000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 713,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 714,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "88400,45000,93900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *35 (State
 | |
| uid 724,0
 | |
| shape (Circle
 | |
| uid 725,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "102000,40000,110000,48000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 726,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "102750,43400,109250,44600"
 | |
| st "initialize13"
 | |
| ju 0
 | |
| blo "106000,44400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 727,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 728,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "106100,44300,109700,45500"
 | |
| st "wait 2"
 | |
| blo "106100,45300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 729,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "106000,45000,106000,45000"
 | |
| blo "106000,45000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 732,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 733,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "109900,45900,110100,46100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 734,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,44800,116300,44800"
 | |
| pts [
 | |
| "103700,44800"
 | |
| "116300,44800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 735,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,44900,116300,44900"
 | |
| pts [
 | |
| "103700,44900"
 | |
| "116300,44900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 736,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,44425,105900,44775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 737,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,42625,105900,42975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 738,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,44600,103700,44600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 739,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,45000,116300,47000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 740,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "106000,42800,106000,42800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 730,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 731,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "104400,45000,109900,45900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *36 (Junction
 | |
| uid 791,0
 | |
| shape (Diamond
 | |
| uid 792,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "112350,34350,115650,37650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 793,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "113350,35400,114650,36600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "114000,36400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 794,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 795,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "115500,36500,115500,36500"
 | |
| blo "115500,36500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 796,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 797,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "111650,37500,117150,38400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *37 (Junction
 | |
| uid 798,0
 | |
| shape (Diamond
 | |
| uid 799,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "112350,42350,115650,45650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 800,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "113350,43400,114650,44600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "114000,44400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 801,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 802,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "115500,44500,115500,44500"
 | |
| blo "115500,44500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 803,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 804,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "111650,45500,117150,46400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *38 (State
 | |
| uid 870,0
 | |
| shape (Circle
 | |
| uid 871,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "22000,56000,30000,64000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 872,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "24150,59400,27850,60600"
 | |
| st "write1"
 | |
| ju 0
 | |
| blo "26000,60400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 873,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 874,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "26100,60300,29700,61500"
 | |
| st "wait 2"
 | |
| blo "26100,61300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 875,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26000,61000,26000,61000"
 | |
| blo "26000,61000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 878,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 879,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "24300,60900,33700,65100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 880,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "24400,60800,33600,60800"
 | |
| pts [
 | |
| "24400,60800"
 | |
| "33600,60800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 881,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "24400,62400,33600,62400"
 | |
| pts [
 | |
| "24400,62400"
 | |
| "33600,62400"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 882,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23950,60425,24300,60775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 883,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23950,59625,24300,59975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 884,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "24400,60600,24400,60600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 885,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "24400,61000,37600,65000"
 | |
| st "commandBus <= active;
 | |
| writeAck <= '1';
 | |
| addrSelRow <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 886,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "25000,59800,25000,59800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 876,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 877,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "24400,61000,29900,61900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *39 (State
 | |
| uid 887,0
 | |
| shape (Circle
 | |
| uid 888,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "38000,56000,46000,64000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 889,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "40150,59400,43850,60600"
 | |
| st "write2"
 | |
| ju 0
 | |
| blo "42000,60400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 890,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 891,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "42100,60300,45700,61500"
 | |
| st "wait 2"
 | |
| blo "42100,61300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 892,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "42000,61000,42000,61000"
 | |
| blo "42000,61000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 895,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 896,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "43900,61900,44100,62100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 897,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,61300,48850,61300"
 | |
| pts [
 | |
| "39150,61300"
 | |
| "48850,61300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 898,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,60650,48850,60650"
 | |
| pts [
 | |
| "39150,60650"
 | |
| "48850,60650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 899,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,60925,39900,61275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 900,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,58625,39900,58975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 901,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,61100,39150,61100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 902,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,61500,48850,62500"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 903,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "40000,58800,40000,58800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 893,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 894,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "40400,61000,45900,61900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *40 (State
 | |
| uid 904,0
 | |
| shape (Circle
 | |
| uid 905,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "54000,56000,62000,64000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 906,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "56150,59400,59850,60600"
 | |
| st "write3"
 | |
| ju 0
 | |
| blo "58000,60400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 907,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 908,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "58100,60300,61700,61500"
 | |
| st "wait 2"
 | |
| blo "58100,61300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 909,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "58000,61000,58000,61000"
 | |
| blo "58000,61000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 912,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 913,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "56450,60400,65550,63600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 914,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56550,60300,65450,60300"
 | |
| pts [
 | |
| "56550,60300"
 | |
| "65450,60300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 915,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56550,61150,65450,61150"
 | |
| pts [
 | |
| "56550,61150"
 | |
| "65450,61150"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 916,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56100,59925,56450,60275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 917,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56100,58625,56450,58975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 918,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56550,60100,56550,60100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 919,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56550,60500,69150,63500"
 | |
| st "commandBus <= write;
 | |
| addrSelCol <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 920,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "57000,58800,57000,58800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 910,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 911,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "56400,61000,61900,61900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *41 (State
 | |
| uid 921,0
 | |
| shape (Circle
 | |
| uid 922,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "70000,56000,78000,64000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 923,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "72150,59400,75850,60600"
 | |
| st "write4"
 | |
| ju 0
 | |
| blo "74000,60400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 924,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 925,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "74100,60300,77700,61500"
 | |
| st "wait 2"
 | |
| blo "74100,61300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 926,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "74000,61000,74000,61000"
 | |
| blo "74000,61000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 929,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 930,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "77900,61900,78100,62100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 931,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,60800,84300,60800"
 | |
| pts [
 | |
| "71700,60800"
 | |
| "84300,60800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 932,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,60900,84300,60900"
 | |
| pts [
 | |
| "71700,60900"
 | |
| "84300,60900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 933,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,60425,73900,60775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 934,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,58625,73900,58975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 935,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,60600,71700,60600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 936,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,61000,84300,63000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 937,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74000,58800,74000,58800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 927,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 928,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "72400,61000,77900,61900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *42 (Junction
 | |
| uid 978,0
 | |
| shape (Diamond
 | |
| uid 979,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "8350,58350,11650,61650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 980,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "9350,59400,10650,60600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "10000,60400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 981,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 982,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "11500,60500,11500,60500"
 | |
| blo "11500,60500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 983,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 984,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "7650,61500,13150,62400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *43 (Junction
 | |
| uid 999,0
 | |
| shape (Diamond
 | |
| uid 1000,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "112350,58350,115650,61650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 1001,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "113350,59400,114650,60600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "114000,60400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 1002,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1003,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "115500,60500,115500,60500"
 | |
| blo "115500,60500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1004,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1005,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "111650,61500,117150,62400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *44 (State
 | |
| uid 1026,0
 | |
| shape (Circle
 | |
| uid 1027,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "22000,72000,30000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1028,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "24300,75400,27700,76600"
 | |
| st "read1"
 | |
| ju 0
 | |
| blo "26000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1029,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1030,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "26100,76300,29700,77500"
 | |
| st "wait 2"
 | |
| blo "26100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1031,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26000,77000,26000,77000"
 | |
| blo "26000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1034,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1035,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "24300,76900,33700,81100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1036,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "24400,76800,33600,76800"
 | |
| pts [
 | |
| "24400,76800"
 | |
| "33600,76800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1037,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "24400,78400,33600,78400"
 | |
| pts [
 | |
| "24400,78400"
 | |
| "33600,78400"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1038,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23950,76425,24300,76775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1039,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "23950,75625,24300,75975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1040,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "24400,76600,24400,76600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1041,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "24400,77000,37600,81000"
 | |
| st "commandBus <= active;
 | |
| readAck <= '1';
 | |
| addrSelRow <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1042,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "25000,75800,25000,75800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1032,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1033,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "24400,77000,29900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *45 (State
 | |
| uid 1043,0
 | |
| shape (Circle
 | |
| uid 1044,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "38000,72000,46000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1045,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "40300,75400,43700,76600"
 | |
| st "read2"
 | |
| ju 0
 | |
| blo "42000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1046,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1047,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "42100,76300,45700,77500"
 | |
| st "wait 2"
 | |
| blo "42100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1048,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "42000,77000,42000,77000"
 | |
| blo "42000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1051,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1052,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "43900,77900,44100,78100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1053,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,77300,48850,77300"
 | |
| pts [
 | |
| "39150,77300"
 | |
| "48850,77300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1054,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "39150,76650,48850,76650"
 | |
| pts [
 | |
| "39150,76650"
 | |
| "48850,76650"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1055,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,76925,39900,77275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1056,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "39550,74625,39900,74975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1057,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,77100,39150,77100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1058,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "39150,77500,48850,78500"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1059,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "40000,74800,40000,74800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1049,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1050,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "40400,77000,45900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *46 (State
 | |
| uid 1060,0
 | |
| shape (Circle
 | |
| uid 1061,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "54000,72000,62000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1062,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "56300,75400,59700,76600"
 | |
| st "read3"
 | |
| ju 0
 | |
| blo "58000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1063,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1064,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "58100,76300,61700,77500"
 | |
| st "wait 2"
 | |
| blo "58100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1065,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "58000,77000,58000,77000"
 | |
| blo "58000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1068,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1069,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "56700,76400,65300,79600"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1070,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56800,76300,65200,76300"
 | |
| pts [
 | |
| "56800,76300"
 | |
| "65200,76300"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1071,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56800,77150,65200,77150"
 | |
| pts [
 | |
| "56800,77150"
 | |
| "65200,77150"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1072,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56350,75925,56700,76275"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1073,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "56350,74625,56700,74975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1074,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56800,76100,56800,76100"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1075,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "56800,76500,68800,79500"
 | |
| st "commandBus <= read;
 | |
| addrSelCol <= '1';
 | |
| timerStart <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1076,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "57000,74800,57000,74800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1066,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1067,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "56400,77000,61900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *47 (State
 | |
| uid 1077,0
 | |
| shape (Circle
 | |
| uid 1078,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "70000,72000,78000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1079,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "72300,75400,75700,76600"
 | |
| st "read4"
 | |
| ju 0
 | |
| blo "74000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1080,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1081,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "74100,76300,77700,77500"
 | |
| st "wait 2"
 | |
| blo "74100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1082,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "74000,77000,74000,77000"
 | |
| blo "74000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1085,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1086,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "77900,77900,78100,78100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1087,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,76800,84300,76800"
 | |
| pts [
 | |
| "71700,76800"
 | |
| "84300,76800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1088,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "71700,76900,84300,76900"
 | |
| pts [
 | |
| "71700,76900"
 | |
| "84300,76900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1089,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,76425,73900,76775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1090,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "73550,74625,73900,74975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1091,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,76600,71700,76600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1092,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "71700,77000,84300,79000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1093,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74000,74800,74000,74800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1083,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1084,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "72400,77000,77900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *48 (Junction
 | |
| uid 1094,0
 | |
| shape (Diamond
 | |
| uid 1095,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "112350,74350,115650,77650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 1096,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "113350,75400,114650,76600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "114000,76400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 1097,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1098,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "115500,76500,115500,76500"
 | |
| blo "115500,76500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1099,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1100,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "111650,77500,117150,78400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *49 (Junction
 | |
| uid 1151,0
 | |
| shape (Diamond
 | |
| uid 1152,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "8350,74350,11650,77650"
 | |
| )
 | |
| symbol (Text
 | |
| uid 1153,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "9350,75400,10650,76600"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "10000,76400"
 | |
| )
 | |
| name (TextAssociate
 | |
| uid 1154,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1155,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "11500,76500,11500,76500"
 | |
| blo "11500,76500"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1156,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1157,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "7650,77500,13150,78400"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *50 (State
 | |
| uid 1217,0
 | |
| shape (Circle
 | |
| uid 1218,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "86000,72000,94000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1219,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "88300,75400,91700,76600"
 | |
| st "read5"
 | |
| ju 0
 | |
| blo "90000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1220,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1221,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "90100,76300,93700,77500"
 | |
| st "wait 2"
 | |
| blo "90100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1222,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "90000,77000,90000,77000"
 | |
| blo "90000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1225,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1226,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| fillStyle 1
 | |
| )
 | |
| xt "87800,76900,96200,79100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1227,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87900,76800,96100,76800"
 | |
| pts [
 | |
| "87900,76800"
 | |
| "96100,76800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1228,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87900,76900,96100,76900"
 | |
| pts [
 | |
| "87900,76900"
 | |
| "96100,76900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1229,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87450,76425,87800,76775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1230,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "87450,74625,87800,74975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1231,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "87900,76600,87900,76600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1232,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "87900,77000,100500,79000"
 | |
| st "sampleData <= '1';
 | |
| ramDataValid <= '1';"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1233,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88000,74800,88000,74800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1223,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1224,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "88400,77000,93900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *51 (State
 | |
| uid 1234,0
 | |
| shape (Circle
 | |
| uid 1235,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "102000,72000,110000,80000"
 | |
| radius 4000
 | |
| )
 | |
| name (Text
 | |
| uid 1236,0
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "104300,75400,107700,76600"
 | |
| st "read6"
 | |
| ju 0
 | |
| blo "106000,76400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| uid 1237,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| uid 1238,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "106100,76300,109700,77500"
 | |
| st "wait 2"
 | |
| blo "106100,77300"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| uid 1239,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "106000,77000,106000,77000"
 | |
| blo "106000,77000"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| uid 1242,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1243,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "109900,77900,110100,78100"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| uid 1244,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,76800,116300,76800"
 | |
| pts [
 | |
| "103700,76800"
 | |
| "116300,76800"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| uid 1245,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "103700,76900,116300,76900"
 | |
| pts [
 | |
| "103700,76900"
 | |
| "116300,76900"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| uid 1246,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,76425,105900,76775"
 | |
| )
 | |
| btri (Triangle
 | |
| uid 1247,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "105550,74625,105900,74975"
 | |
| )
 | |
| entryActions (MLText
 | |
| uid 1248,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,76600,103700,76600"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| uid 1249,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "103700,77000,116300,79000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| uid 1250,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "106000,74800,106000,74800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| uid 1240,0
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| uid 1241,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "104400,77000,109900,77900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *52 (Transition
 | |
| uid 100,0
 | |
| shape (Spline
 | |
| uid 101,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "5500,15000,13000,15000"
 | |
| pts [
 | |
| "5500,15000"
 | |
| "13000,15000"
 | |
| ]
 | |
| )
 | |
| start &4
 | |
| end &5
 | |
| ss 0
 | |
| es 0
 | |
| cond "reset = '1'"
 | |
| tb (TransitionBlock
 | |
| uid 102,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 103,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "7250,14000,15450,16000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 104,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "7750,15400,14950,15400"
 | |
| pts [
 | |
| "7750,15400"
 | |
| "14950,15400"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 105,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "7750,14000,14950,15000"
 | |
| st "reset = '1'"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 106,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "7150,15800,15550,16800"
 | |
| st "< Automatic >"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 107,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 108,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "5469,14219,7031,15781"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 109,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5850,14500,6650,15500"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "6250,15300"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *53 (Transition
 | |
| uid 110,0
 | |
| shape (Spline
 | |
| uid 111,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "5050,13000,13000,13000"
 | |
| pts [
 | |
| "5050,13000"
 | |
| "13000,13000"
 | |
| ]
 | |
| )
 | |
| start &6
 | |
| end &7
 | |
| ss 0
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 112,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 113,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "6825,12495,11225,13505"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 114,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "9025,13900,9025,13900"
 | |
| pts [
 | |
| "9025,13900"
 | |
| "9025,13900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 115,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "7325,12500,10725,13500"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 116,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "9025,13900,9025,13900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 117,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 118,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "5064,12219,6626,13781"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 119,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5445,12500,6245,13500"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "5845,13300"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *54 (Transition
 | |
| uid 246,0
 | |
| shape (Spline
 | |
| uid 247,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "14000,27968,22000,27970"
 | |
| pts [
 | |
| "14000,27970"
 | |
| "22000,27968"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &2
 | |
| end &19
 | |
| cond "endOfRefreshCount = '1'"
 | |
| tb (TransitionBlock
 | |
| uid 248,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 249,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "11700,24550,22300,26550"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 250,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "12200,26450,21800,26450"
 | |
| pts [
 | |
| "12200,26450"
 | |
| "21800,26450"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 251,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "12200,25050,26600,26050"
 | |
| st "endOfRefreshCount = '1'"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 252,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "12200,26450,21800,27450"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 253,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 254,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "14019,27188,15581,28750"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 255,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "14400,27469,15200,28469"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "14800,28269"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *55 (Transition
 | |
| uid 312,0
 | |
| shape (Spline
 | |
| uid 313,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "30000,28035,38000,28036"
 | |
| pts [
 | |
| "30000,28035"
 | |
| "38000,28036"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &19
 | |
| end &20
 | |
| tb (TransitionBlock
 | |
| uid 314,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 315,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "33500,28031,37900,29041"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 316,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "35700,29436,35700,29436"
 | |
| pts [
 | |
| "35700,29436"
 | |
| "35700,29436"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 317,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "34000,28036,37400,29036"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 318,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "35700,29436,35700,29436"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 319,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 320,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "30019,27254,31581,28816"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 321,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "30400,27535,31200,28535"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "30800,28335"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *56 (Transition
 | |
| uid 351,0
 | |
| shape (Spline
 | |
| uid 352,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "46000,27955,54000,27955"
 | |
| pts [
 | |
| "46000,27955"
 | |
| "54000,27955"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &20
 | |
| end &21
 | |
| cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 353,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 354,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "41950,25000,65350,27000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 355,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "44150,26900,44150,26900"
 | |
| pts [
 | |
| "44150,26900"
 | |
| "44150,26900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 356,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "42450,25500,76650,26500"
 | |
| st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 357,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "53650,26900,53650,26900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 358,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 359,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "46019,27174,47581,28736"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 360,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "46400,27455,47200,28455"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "46800,28255"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *57 (Transition
 | |
| uid 395,0
 | |
| shape (Spline
 | |
| uid 396,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "62000,28002,70000,28006"
 | |
| pts [
 | |
| "62000,28006"
 | |
| "70000,28002"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &21
 | |
| end &22
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 397,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 398,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "65500,27999,69900,29009"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 399,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "67700,29404,67700,29404"
 | |
| pts [
 | |
| "67700,29404"
 | |
| "67700,29404"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 400,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "66000,28004,69400,29004"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 401,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "67700,29404,67700,29404"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 402,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 403,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "62019,27224,63581,28786"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 404,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "62400,27505,63200,28505"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "62800,28305"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *58 (Transition
 | |
| uid 405,0
 | |
| shape (Spline
 | |
| uid 406,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "78000,27984,86000,27985"
 | |
| pts [
 | |
| "78000,27984"
 | |
| "86000,27985"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &22
 | |
| end &23
 | |
| cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 407,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 408,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "74350,25000,94950,27000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 409,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "76550,26900,76550,26900"
 | |
| pts [
 | |
| "76550,26900"
 | |
| "76550,26900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 410,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74850,25500,105450,26500"
 | |
| st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 411,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "84650,26900,84650,26900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 412,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 413,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "78019,27203,79581,28765"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 414,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "78400,27484,79200,28484"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "78800,28284"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *59 (Transition
 | |
| uid 432,0
 | |
| shape (Spline
 | |
| uid 433,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "94000,28006,102000,28006"
 | |
| pts [
 | |
| "94000,28006"
 | |
| "102000,28006"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &23
 | |
| end &24
 | |
| tb (TransitionBlock
 | |
| uid 434,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 435,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "97500,28001,101900,29011"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 436,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "99700,29406,99700,29406"
 | |
| pts [
 | |
| "99700,29406"
 | |
| "99700,29406"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 437,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "98000,28006,101400,29006"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 438,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "99700,29406,99700,29406"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 439,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 440,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "94019,27225,95581,28787"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 441,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "94400,27506,95200,28506"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "94800,28306"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *60 (Transition
 | |
| uid 519,0
 | |
| shape (Spline
 | |
| uid 520,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "110000,27969,118000,27975"
 | |
| pts [
 | |
| "110000,27975"
 | |
| "118000,27969"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &24
 | |
| end &25
 | |
| cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 521,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 522,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "106350,25000,126950,27000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 523,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "108550,26900,108550,26900"
 | |
| pts [
 | |
| "108550,26900"
 | |
| "108550,26900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 524,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "106850,25500,137450,26500"
 | |
| st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 525,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "116650,26900,116650,26900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 526,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 527,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "110019,27193,111581,28755"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 528,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "110400,27474,111200,28474"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "110800,28274"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *61 (Transition
 | |
| uid 548,0
 | |
| shape (Spline
 | |
| uid 549,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "126000,28011,134000,28013"
 | |
| pts [
 | |
| "126000,28011"
 | |
| "134000,28013"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &25
 | |
| end &26
 | |
| tb (TransitionBlock
 | |
| uid 550,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 551,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "129500,28007,133900,29017"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 552,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "131700,29412,131700,29412"
 | |
| pts [
 | |
| "131700,29412"
 | |
| "131700,29412"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 553,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "130000,28012,133400,29012"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 554,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "131700,29412,131700,29412"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 555,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 556,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "126019,27230,127581,28792"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 557,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "126400,27511,127200,28511"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "126800,28311"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *62 (Transition
 | |
| uid 582,0
 | |
| shape (Spline
 | |
| uid 583,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "9964,37622,9972,40000"
 | |
| pts [
 | |
| "9972,37622"
 | |
| "9964,40000"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &28
 | |
| end &27
 | |
| tb (TransitionBlock
 | |
| uid 584,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 585,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "9468,38806,13868,39816"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 586,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "11668,40211,11668,40211"
 | |
| pts [
 | |
| "11668,40211"
 | |
| "11668,40211"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 587,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "9968,38811,13368,39811"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 588,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "11668,40211,11668,40211"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 589,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 590,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "9190,37078,10752,38640"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 591,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "9571,37359,10371,38359"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "9971,38159"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *63 (Transition
 | |
| uid 599,0
 | |
| shape (Spline
 | |
| uid 600,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "138008,32000,138019,34358"
 | |
| pts [
 | |
| "138019,32000"
 | |
| "138008,34358"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &26
 | |
| end &29
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 601,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 602,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "116400,33495,138900,34505"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 603,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "118600,34900,118600,34900"
 | |
| pts [
 | |
| "118600,34900"
 | |
| "118600,34900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 604,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "116900,33500,138400,34500"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 605,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "127650,34900,127650,34900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 606,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 607,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "137236,31454,138798,33016"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 608,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "137617,31735,138417,32735"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "138017,32535"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *64 (Transition
 | |
| uid 619,0
 | |
| shape (Spline
 | |
| uid 620,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "115626,35976,136366,35984"
 | |
| pts [
 | |
| "136366,35984"
 | |
| "115626,35976"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &29
 | |
| end &36
 | |
| tb (TransitionBlock
 | |
| uid 621,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 622,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "125496,35975,129896,36985"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 623,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "127696,37380,127696,37380"
 | |
| pts [
 | |
| "127696,37380"
 | |
| "127696,37380"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 624,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "125996,35980,129396,36980"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 625,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "127696,37380,127696,37380"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 626,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 627,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "133511,35202,135073,36764"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 628,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "133892,35483,134692,36483"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "134292,36283"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *65 (Transition
 | |
| uid 646,0
 | |
| shape (Spline
 | |
| uid 647,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "14000,44000,22000,44000"
 | |
| pts [
 | |
| "14000,44000"
 | |
| "22000,44000"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &27
 | |
| end &30
 | |
| cond "endOfRefreshCount = '1'"
 | |
| tb (TransitionBlock
 | |
| uid 648,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 649,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "12700,41000,23300,43000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 650,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "14900,42900,14900,42900"
 | |
| pts [
 | |
| "14900,42900"
 | |
| "14900,42900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 651,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "13200,41500,27600,42500"
 | |
| st "endOfRefreshCount = '1'"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 652,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "18000,42900,18000,42900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 653,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 654,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "14019,43219,15581,44781"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 655,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "14400,43500,15200,44500"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "14800,44300"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *66 (Transition
 | |
| uid 741,0
 | |
| shape (Spline
 | |
| uid 742,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "46000,43955,54000,43955"
 | |
| pts [
 | |
| "46000,43955"
 | |
| "54000,43955"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &31
 | |
| end &32
 | |
| cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 743,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 744,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "41950,41000,65350,43000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 745,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "44150,42900,44150,42900"
 | |
| pts [
 | |
| "44150,42900"
 | |
| "44150,42900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 746,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "42450,41500,76650,42500"
 | |
| st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 747,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "53650,42900,53650,42900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 748,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 749,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "46019,43174,47581,44736"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 750,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "46400,43455,47200,44455"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "46800,44255"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *67 (Transition
 | |
| uid 751,0
 | |
| shape (Spline
 | |
| uid 752,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "62000,44002,70000,44006"
 | |
| pts [
 | |
| "62000,44006"
 | |
| "70000,44002"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &32
 | |
| end &33
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 753,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 754,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "65500,43999,69900,45009"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 755,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "67700,45404,67700,45404"
 | |
| pts [
 | |
| "67700,45404"
 | |
| "67700,45404"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 756,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "66000,44004,69400,45004"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 757,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "67700,45404,67700,45404"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 758,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 759,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "62019,43224,63581,44786"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 760,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "62400,43505,63200,44505"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "62800,44305"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *68 (Transition
 | |
| uid 761,0
 | |
| shape (Spline
 | |
| uid 762,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "78000,43984,86000,43985"
 | |
| pts [
 | |
| "78000,43984"
 | |
| "86000,43985"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &33
 | |
| end &34
 | |
| cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 763,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 764,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "74350,41000,94950,43000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 765,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "76550,42900,76550,42900"
 | |
| pts [
 | |
| "76550,42900"
 | |
| "76550,42900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 766,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74850,41500,105450,42500"
 | |
| st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 767,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "84650,42900,84650,42900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 768,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 769,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "78019,43203,79581,44765"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 770,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "78400,43484,79200,44484"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "78800,44284"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *69 (Transition
 | |
| uid 771,0
 | |
| shape (Spline
 | |
| uid 772,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "94000,44006,102000,44006"
 | |
| pts [
 | |
| "94000,44006"
 | |
| "102000,44006"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &34
 | |
| end &35
 | |
| tb (TransitionBlock
 | |
| uid 773,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 774,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "97500,44001,101900,45011"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 775,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "99700,45406,99700,45406"
 | |
| pts [
 | |
| "99700,45406"
 | |
| "99700,45406"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 776,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "98000,44006,101400,45006"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 777,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "99700,45406,99700,45406"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 778,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 779,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "94019,43225,95581,44787"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 780,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "94400,43506,95200,44506"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "94800,44306"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *70 (Transition
 | |
| uid 781,0
 | |
| shape (Spline
 | |
| uid 782,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "30000,44004,38000,44014"
 | |
| pts [
 | |
| "30000,44014"
 | |
| "38000,44004"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &30
 | |
| end &31
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 783,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 784,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "33500,44004,37900,45014"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 785,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "35700,45409,35700,45409"
 | |
| pts [
 | |
| "35700,45409"
 | |
| "35700,45409"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 786,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "34000,44009,37400,45009"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 787,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "35700,45409,35700,45409"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 788,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 789,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "30019,43232,31581,44794"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 790,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "30400,43513,31200,44513"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "30800,44313"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *71 (Transition
 | |
| uid 805,0
 | |
| shape (Spline
 | |
| uid 806,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "11626,35973,112377,35976"
 | |
| pts [
 | |
| "112377,35973"
 | |
| "11626,35976"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &36
 | |
| end &28
 | |
| tb (TransitionBlock
 | |
| uid 807,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 808,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "61501,35970,65901,36980"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 809,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "63701,37375,63701,37375"
 | |
| pts [
 | |
| "63701,37375"
 | |
| "63701,37375"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 810,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "62001,35975,65401,36975"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 811,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "63701,37375,63701,37375"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 812,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 813,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "101521,35192,103083,36754"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 814,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "101902,35473,102702,36473"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "102302,36273"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *72 (Transition
 | |
| uid 815,0
 | |
| shape (Spline
 | |
| uid 816,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "110000,43971,112376,43974"
 | |
| pts [
 | |
| "110000,43971"
 | |
| "112376,43974"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &35
 | |
| end &37
 | |
| cond "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 817,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 818,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "107350,41000,128650,43000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 819,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "109550,42900,109550,42900"
 | |
| pts [
 | |
| "109550,42900"
 | |
| "109550,42900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 820,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "107850,41500,139650,42500"
 | |
| st "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 821,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "118000,42900,118000,42900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 822,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 823,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "109456,43190,111018,44752"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 824,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "109837,43471,110637,44471"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "110237,44271"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *73 (Transition
 | |
| uid 825,0
 | |
| shape (Spline
 | |
| uid 826,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "114015,37635,114025,42375"
 | |
| pts [
 | |
| "114025,42375"
 | |
| "114015,37635"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &37
 | |
| end &36
 | |
| tb (TransitionBlock
 | |
| uid 827,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 828,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "113520,40000,117920,41010"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 829,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "115720,41405,115720,41405"
 | |
| pts [
 | |
| "115720,41405"
 | |
| "115720,41405"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 830,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "114020,40005,117420,41005"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 831,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "115720,41405,115720,41405"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 832,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 833,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "113243,41120,114805,42682"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 834,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "113624,41401,114424,42401"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "114024,42201"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *74 (Transition
 | |
| uid 938,0
 | |
| shape (Spline
 | |
| uid 939,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "62000,60002,70000,60006"
 | |
| pts [
 | |
| "62000,60006"
 | |
| "70000,60002"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &40
 | |
| end &41
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 940,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 941,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "65500,59999,69900,61009"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 942,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "67700,61404,67700,61404"
 | |
| pts [
 | |
| "67700,61404"
 | |
| "67700,61404"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 943,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "66000,60004,69400,61004"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 944,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "67700,61404,67700,61404"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 945,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 946,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "62019,59224,63581,60786"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 947,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "62400,59505,63200,60505"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "62800,60305"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *75 (Transition
 | |
| uid 948,0
 | |
| shape (Spline
 | |
| uid 949,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "46000,59955,54000,59955"
 | |
| pts [
 | |
| "46000,59955"
 | |
| "54000,59955"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &39
 | |
| end &40
 | |
| cond "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 950,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 951,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "41950,57000,62850,59000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 952,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "44150,58900,44150,58900"
 | |
| pts [
 | |
| "44150,58900"
 | |
| "44150,58900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 953,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "42450,57500,73650,58500"
 | |
| st "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 954,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "52400,58900,52400,58900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 955,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 956,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "46019,59174,47581,60736"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 957,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "46400,59455,47200,60455"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "46800,60255"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *76 (Transition
 | |
| uid 958,0
 | |
| shape (Spline
 | |
| uid 959,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "30000,59975,38000,59992"
 | |
| pts [
 | |
| "30000,59975"
 | |
| "38000,59992"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &38
 | |
| end &39
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 960,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 961,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "33500,59979,37900,60989"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 962,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "35700,61384,35700,61384"
 | |
| pts [
 | |
| "35700,61384"
 | |
| "35700,61384"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 963,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "34000,59984,37400,60984"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 964,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "35700,61384,35700,61384"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 965,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 966,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "30019,59195,31581,60757"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 967,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "30400,59476,31200,60476"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "30800,60276"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *77 (Transition
 | |
| uid 968,0
 | |
| shape (Spline
 | |
| uid 969,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "11626,59973,22000,59976"
 | |
| pts [
 | |
| "11626,59976"
 | |
| "22000,59973"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &42
 | |
| end &38
 | |
| cond "(ramEn = '1') and (writeRequest = '1')"
 | |
| tb (TransitionBlock
 | |
| uid 970,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 971,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "12938,56847,28038,58847"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 972,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "15138,58747,15138,58747"
 | |
| pts [
 | |
| "15138,58747"
 | |
| "15138,58747"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 973,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "13438,57347,36838,58347"
 | |
| st "(ramEn = '1') and (writeRequest = '1')"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 974,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "20488,58747,20488,58747"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 975,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 976,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "11882,59194,13444,60756"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 977,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "12263,59475,13063,60475"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "12663,60275"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *78 (Transition
 | |
| uid 985,0
 | |
| shape (Spline
 | |
| uid 986,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "10008,48000,10009,58358"
 | |
| pts [
 | |
| "10009,48000"
 | |
| "10008,58358"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &27
 | |
| end &42
 | |
| tb (TransitionBlock
 | |
| uid 987,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 988,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "9508,53174,13908,54184"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 989,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "11708,54579,11708,54579"
 | |
| pts [
 | |
| "11708,54579"
 | |
| "11708,54579"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 990,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "10008,53179,13408,54179"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 991,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "11708,54579,11708,54579"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 992,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 993,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "9227,48254,10789,49816"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 994,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "9608,48535,10408,49535"
 | |
| st "2"
 | |
| ju 0
 | |
| blo "10008,49335"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *79 (Transition
 | |
| uid 1006,0
 | |
| shape (Spline
 | |
| uid 1007,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "78000,60013,112363,60014"
 | |
| pts [
 | |
| "78000,60014"
 | |
| "112363,60013"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &41
 | |
| end &43
 | |
| cond "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 1008,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1009,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "78250,57000,99050,59000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1010,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "80450,58900,80450,58900"
 | |
| pts [
 | |
| "80450,58900"
 | |
| "80450,58900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1011,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "78750,57500,109950,58500"
 | |
| st "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1012,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "88650,58900,88650,58900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1013,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1014,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "80655,59232,82217,60794"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1015,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "81036,59513,81836,60513"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "81436,60313"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *80 (Transition
 | |
| uid 1016,0
 | |
| shape (Spline
 | |
| uid 1017,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "114010,45640,114015,58365"
 | |
| pts [
 | |
| "114015,58365"
 | |
| "114010,45640"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &43
 | |
| end &37
 | |
| tb (TransitionBlock
 | |
| uid 1018,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1019,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "113512,51997,117912,53007"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1020,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "115712,53402,115712,53402"
 | |
| pts [
 | |
| "115712,53402"
 | |
| "115712,53402"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1021,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "114012,52002,117412,53002"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1022,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "115712,53402,115712,53402"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1023,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1024,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "113233,56312,114795,57874"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1025,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "113614,56593,114414,57593"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "114014,57393"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *81 (Transition
 | |
| uid 1101,0
 | |
| shape (Spline
 | |
| uid 1102,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "62000,76002,70000,76006"
 | |
| pts [
 | |
| "62000,76006"
 | |
| "70000,76002"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &46
 | |
| end &47
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 1103,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1104,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "65500,75999,69900,77009"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1105,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "67700,77404,67700,77404"
 | |
| pts [
 | |
| "67700,77404"
 | |
| "67700,77404"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1106,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "66000,76004,69400,77004"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1107,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "67700,77404,67700,77404"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1108,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1109,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "62019,75224,63581,76786"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1110,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "62400,75505,63200,76505"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "62800,76305"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *82 (Transition
 | |
| uid 1111,0
 | |
| shape (Spline
 | |
| uid 1112,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "46000,75955,54000,75955"
 | |
| pts [
 | |
| "46000,75955"
 | |
| "54000,75955"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &45
 | |
| end &46
 | |
| cond "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 1113,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1114,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "41950,73000,62850,75000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1115,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "44150,74900,44150,74900"
 | |
| pts [
 | |
| "44150,74900"
 | |
| "44150,74900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1116,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "42450,73500,73050,74500"
 | |
| st "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1117,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "52400,74900,52400,74900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1118,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1119,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "46019,75174,47581,76736"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1120,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "46400,75455,47200,76455"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "46800,76255"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *83 (Transition
 | |
| uid 1121,0
 | |
| shape (Spline
 | |
| uid 1122,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "30000,76004,38000,76014"
 | |
| pts [
 | |
| "30000,76014"
 | |
| "38000,76004"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &44
 | |
| end &45
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 1123,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1124,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "33500,76004,37900,77014"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1125,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "35700,77409,35700,77409"
 | |
| pts [
 | |
| "35700,77409"
 | |
| "35700,77409"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1126,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "34000,76009,37400,77009"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1127,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "35700,77409,35700,77409"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1128,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1129,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "30019,75232,31581,76794"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1130,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "30400,75513,31200,76513"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "30800,76313"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *84 (Transition
 | |
| uid 1131,0
 | |
| shape (Spline
 | |
| uid 1132,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "78000,75970,86000,75973"
 | |
| pts [
 | |
| "78000,75973"
 | |
| "86000,75970"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &47
 | |
| end &50
 | |
| cond "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 1133,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1134,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "73650,73000,94850,75000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1135,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "75850,74900,75850,74900"
 | |
| pts [
 | |
| "75850,74900"
 | |
| "75850,74900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1136,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "74150,73500,104750,74500"
 | |
| st "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1137,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "84250,74900,84250,74900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1138,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1139,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "78019,75191,79581,76753"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1140,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "78400,75472,79200,76472"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "78800,76272"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *85 (Transition
 | |
| uid 1141,0
 | |
| shape (Spline
 | |
| uid 1142,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "114016,61634,114016,74366"
 | |
| pts [
 | |
| "114016,74366"
 | |
| "114016,61634"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &48
 | |
| end &43
 | |
| tb (TransitionBlock
 | |
| uid 1143,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1144,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "113516,67995,117916,69005"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1145,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "115716,69400,115716,69400"
 | |
| pts [
 | |
| "115716,69400"
 | |
| "115716,69400"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1146,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "114016,68000,117416,69000"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1147,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "115716,69400,115716,69400"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1148,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1149,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "113235,72312,114797,73874"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1150,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "113616,72593,114416,73593"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "114016,73393"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *86 (Transition
 | |
| uid 1158,0
 | |
| shape (Spline
 | |
| uid 1159,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "10015,61635,10015,74365"
 | |
| pts [
 | |
| "10015,61635"
 | |
| "10015,74365"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &42
 | |
| end &49
 | |
| tb (TransitionBlock
 | |
| uid 1160,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1161,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "9515,67995,13915,69005"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1162,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "11715,69400,11715,69400"
 | |
| pts [
 | |
| "11715,69400"
 | |
| "11715,69400"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1163,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "10015,68000,13415,69000"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1164,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "11715,69400,11715,69400"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1165,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1166,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "9234,62127,10796,63689"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1167,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "9615,62408,10415,63408"
 | |
| st "2"
 | |
| ju 0
 | |
| blo "10015,63208"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *87 (Transition
 | |
| uid 1168,0
 | |
| shape (Spline
 | |
| uid 1169,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "11643,76006,22000,76007"
 | |
| pts [
 | |
| "11643,76007"
 | |
| "22000,76006"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &49
 | |
| end &44
 | |
| cond "(ramEn = '1') and (readRequest = '1')"
 | |
| tb (TransitionBlock
 | |
| uid 1170,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1171,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "12500,73000,27500,75000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1172,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "14700,74900,14700,74900"
 | |
| pts [
 | |
| "14700,74900"
 | |
| "14700,74900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1173,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "13000,73500,35800,74500"
 | |
| st "(ramEn = '1') and (readRequest = '1')"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1174,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "20000,74900,20000,74900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1175,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1176,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "11897,75225,13459,76787"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1177,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "12278,75506,13078,76506"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "12678,76306"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *88 (Transition
 | |
| uid 1251,0
 | |
| shape (Spline
 | |
| uid 1252,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "94000,76002,102000,76006"
 | |
| pts [
 | |
| "94000,76006"
 | |
| "102000,76002"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &50
 | |
| end &51
 | |
| es 0
 | |
| tb (TransitionBlock
 | |
| uid 1253,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1254,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "97500,75999,101900,77009"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1255,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "99700,77404,99700,77404"
 | |
| pts [
 | |
| "99700,77404"
 | |
| "99700,77404"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1256,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "98000,76004,101400,77004"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1257,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "99700,77404,99700,77404"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1258,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1259,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "94019,75224,95581,76786"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1260,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "94400,75505,95200,76505"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "94800,76305"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| *89 (Transition
 | |
| uid 1261,0
 | |
| shape (Spline
 | |
| uid 1262,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "110000,76014,112364,76015"
 | |
| pts [
 | |
| "110000,76015"
 | |
| "112364,76014"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| start &51
 | |
| end &48
 | |
| cond "unsigned(timerDone(1 to readToActivePeriodNb)) = 0"
 | |
| tb (TransitionBlock
 | |
| uid 1263,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 1264,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "109650,73000,130350,75000"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| uid 1265,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "111850,74900,111850,74900"
 | |
| pts [
 | |
| "111850,74900"
 | |
| "111850,74900"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| uid 1266,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "110150,73500,140750,74500"
 | |
| st "unsigned(timerDone(1 to readToActivePeriodNb)) = 0"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| uid 1267,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "120000,74900,120000,74900"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| uid 1268,0
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| uid 1269,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "109455,75233,111017,76795"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| uid 1270,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "109836,75514,110636,76514"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "110236,76314"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| ]
 | |
| bg "65535,65535,65535"
 | |
| grid (Grid
 | |
| origin "0,0"
 | |
| isVisible 1
 | |
| isActive 1
 | |
| xSpacing 1000
 | |
| xySpacing 1000
 | |
| xShown 1
 | |
| yShown 1
 | |
| color "26368,26368,26368"
 | |
| )
 | |
| packageList *90 (PackageList
 | |
| uid 27,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *91 (Text
 | |
| uid 28,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,-2000,5400,-1000"
 | |
| st "Package List"
 | |
| blo "0,-1200"
 | |
| )
 | |
| *92 (MLText
 | |
| uid 29,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,-1000,18600,2000"
 | |
| st "LIBRARY ieee;
 | |
|   USE ieee.std_logic_1164.all;
 | |
|   USE ieee.numeric_std.all;"
 | |
| tm "SmPackageListTextMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| compDirBlock (MlTextGroup
 | |
| uid 30,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *93 (Text
 | |
| uid 31,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "20000,0,28100,1000"
 | |
| st "Compiler Directives"
 | |
| blo "20000,800"
 | |
| )
 | |
| *94 (Text
 | |
| uid 32,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "20000,1000,29600,2000"
 | |
| st "Pre-module directives:"
 | |
| blo "20000,1800"
 | |
| )
 | |
| *95 (MLText
 | |
| uid 33,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,2000,32000,4000"
 | |
| st "`resetall
 | |
| `timescale 1ns/10ps"
 | |
| tm "SmCompilerDirectivesTextMgr"
 | |
| )
 | |
| *96 (Text
 | |
| uid 34,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "20000,4000,30100,5000"
 | |
| st "Post-module directives:"
 | |
| blo "20000,4800"
 | |
| )
 | |
| *97 (MLText
 | |
| uid 35,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,0,20000,0"
 | |
| tm "SmCompilerDirectivesTextMgr"
 | |
| )
 | |
| *98 (Text
 | |
| uid 36,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "20000,5000,29900,6000"
 | |
| st "End-module directives:"
 | |
| blo "20000,5800"
 | |
| )
 | |
| *99 (MLText
 | |
| uid 37,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,6000,20000,6000"
 | |
| tm "SmCompilerDirectivesTextMgr"
 | |
| )
 | |
| ]
 | |
| associable 1
 | |
| )
 | |
| windowSize "0,24,1681,1050"
 | |
| viewArea "11603,-6620,90646,42285"
 | |
| cachedDiagramExtent "0,-2000,148300,97000"
 | |
| pageSetupInfo (PageSetupInfo
 | |
| ptrCmd ""
 | |
| toPrinter 1
 | |
| xMargin 48
 | |
| yMargin 48
 | |
| windowsPaperWidth 761
 | |
| windowsPaperHeight 1077
 | |
| paperType "Letter (8.5\" x 11\")"
 | |
| windowsPaperName "A4"
 | |
| windowsPaperType 9
 | |
| scale 50
 | |
| exportedDirectories [
 | |
| "$HDS_PROJECT_DIR/HTMLExport"
 | |
| ]
 | |
| boundaryWidth 0
 | |
| exportStdIncludeRefs 1
 | |
| exportStdPackageRefs 1
 | |
| )
 | |
| hasePageBreakOrigin 1
 | |
| pageBreakOrigin "0,-2000"
 | |
| isTopLevel 1
 | |
| defaultCommentText (CommentText
 | |
| shape (Rectangle
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,15000,5000"
 | |
| )
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| )
 | |
| xt "200,200,2600,1200"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4600
 | |
| visibleWidth 14600
 | |
| )
 | |
| )
 | |
| defaultRequirementText (RequirementText
 | |
| shape (ZoomableIcon
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,1500,1750"
 | |
| iconName "reqTracerRequirement.bmp"
 | |
| iconMaskName "reqTracerRequirement.msk"
 | |
| )
 | |
| autoResize 1
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "450,2150,1450,3050"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "RequirementText"
 | |
| wrapOption 3
 | |
| visibleHeight 1350
 | |
| visibleWidth 1100
 | |
| )
 | |
| )
 | |
| defaultPanel (Panel
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "32768,0,0"
 | |
| lineWidth 3
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "1000,1000,3800,2000"
 | |
| st "Panel0"
 | |
| blo "1000,1800"
 | |
| tm "PanelText"
 | |
| )
 | |
| )
 | |
| )
 | |
| localPreDecl *100 (SmLocalDecl
 | |
| uid 1648,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 1649,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,0,13000,900"
 | |
| st "Architecture Declarations"
 | |
| blo "0,700"
 | |
| )
 | |
| second (MLText
 | |
| uid 1650,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,900,0,900"
 | |
| tm "LocalDeclTextMgr"
 | |
| )
 | |
| declType 1
 | |
| )
 | |
| localDecl *101 (SmLocalDecl
 | |
| uid 3,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 4,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "40000,-1400,53000,-500"
 | |
| st "Architecture Declarations"
 | |
| blo "40000,-700"
 | |
| )
 | |
| second (MLText
 | |
| uid 5,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "40000,-400,79500,18500"
 | |
| st "-- 20120621 -- zas -- added to generics
 | |
| --constant prechargeToRefreshPeriodNb: positive := 2; -- 66MHz * 20 ns = 1.32
 | |
| --constant refreshDelayPeriodNb: positive := 5; -- 66MHz * 66ns = 4.356
 | |
| --constant loadModeToActivePeriodNb: positive := 1; -- 1 CK
 | |
| --constant activeToWritePeriodNb: positive := 2; -- 66MHz * 20ns = 1.32
 | |
| --constant writeToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32
 | |
| --constant activeToReadPeriodNb: positive := 2; -- 66MHz * 20ns = 1.32
 | |
| --constant readToSamplePeriodNb: positive := 2; -- 2 CK with latency = 2
 | |
| --constant readToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32
 | |
| 
 | |
| subtype commandBusType is std_ulogic_vector(commandBusBitNb-1 downto 0);
 | |
| -- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0)
 | |
| constant inhibit        : commandBusType := \"1-----\";
 | |
| constant nop            : commandBusType := \"0111--\";
 | |
| constant active         : commandBusType := \"0011--\";
 | |
| constant read           : commandBusType := \"010100\";
 | |
| constant write          : commandBusType := \"010000\";
 | |
| constant burstTerminate : commandBusType := \"0110--\";
 | |
| constant precharge      : commandBusType := \"0010--\";
 | |
| constant autoRefresh    : commandBusType := \"0001--\";
 | |
| constant loadModeReg    : commandBusType := \"0000--\";"
 | |
| tm "LocalDeclTextMgr"
 | |
| )
 | |
| declType 2
 | |
| )
 | |
| localPostDecl *102 (SmLocalDecl
 | |
| uid 1651,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 1652,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "0,0,13000,900"
 | |
| st "Architecture Declarations"
 | |
| blo "0,700"
 | |
| )
 | |
| second (MLText
 | |
| uid 1653,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,900,0,900"
 | |
| tm "LocalDeclTextMgr"
 | |
| )
 | |
| declType 3
 | |
| )
 | |
| processDecl *103 (SmProcessDecl
 | |
| uid 6,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *104 (Text
 | |
| uid 7,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "126000,-1000,134900,0"
 | |
| st "Process Declarations"
 | |
| blo "126000,-200"
 | |
| )
 | |
| *105 (Text
 | |
| uid 8,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "126000,0,133200,1000"
 | |
| st "Clocked Process:"
 | |
| blo "126000,800"
 | |
| )
 | |
| *106 (MLText
 | |
| uid 9,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "126000,-1000,126000,-1000"
 | |
| tm "ProcessDeclTextMgr"
 | |
| )
 | |
| *107 (Text
 | |
| uid 10,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "126000,1000,132900,2000"
 | |
| st "Output Process:"
 | |
| blo "126000,1800"
 | |
| )
 | |
| *108 (MLText
 | |
| uid 11,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "126000,2000,126000,2000"
 | |
| tm "ProcessDeclTextMgr"
 | |
| )
 | |
| ]
 | |
| associable 1
 | |
| )
 | |
| defaultActions *109 (MlTextGroup
 | |
| uid 12,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *110 (Text
 | |
| uid 13,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "18000,-1000,24200,0"
 | |
| st "Global Actions"
 | |
| blo "18000,-200"
 | |
| )
 | |
| *111 (Text
 | |
| uid 14,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "18000,0,23300,1000"
 | |
| st "Pre Actions:"
 | |
| blo "18000,800"
 | |
| )
 | |
| *112 (MLText
 | |
| uid 15,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "18000,-1000,18000,-1000"
 | |
| tm "Actions"
 | |
| )
 | |
| *113 (Text
 | |
| uid 16,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "18000,1000,23800,2000"
 | |
| st "Post Actions:"
 | |
| blo "18000,1800"
 | |
| )
 | |
| *114 (MLText
 | |
| uid 17,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "18000,2000,23600,3000"
 | |
| tm "Actions"
 | |
| )
 | |
| ]
 | |
| associable 1
 | |
| )
 | |
| archConcurrentStatementBlock *115 (BiTextGroup
 | |
| uid 18,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 19,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "27200,-1000,37100,0"
 | |
| st "Concurrent Statements"
 | |
| blo "27200,-200"
 | |
| )
 | |
| second (MLText
 | |
| uid 20,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "27200,0,52500,19000"
 | |
| tm "ArchConcStmtTextMgr"
 | |
| )
 | |
| associable 1
 | |
| )
 | |
| signalsGenStatus *116 (SmSignalGenStatus
 | |
| uid 24,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 25,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "85000,-1000,90700,0"
 | |
| st "Signal Status"
 | |
| blo "85000,-200"
 | |
| )
 | |
| second (MLText
 | |
| uid 26,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "85000,0,110200,10800"
 | |
| st "SIGNAL            MODE    DEFAULT  RESET  SCHEME 
 | |
| powerUpDone       OUT     '1'             COMB   
 | |
| commandBus        OUT     nop             COMB   
 | |
| timerStart        OUT     '0'             COMB   
 | |
| addrSelPrecharge  OUT     '0'             COMB   
 | |
| addrSelModeReg    OUT     '0'             COMB   
 | |
| writeAck          OUT     '0'             COMB   
 | |
| addrSelCol        OUT     '0'             COMB   
 | |
| addrSelRow        OUT     '0'             COMB   
 | |
| readAck           OUT     '0'             COMB   
 | |
| ramDataValid      OUT     '0'             COMB   
 | |
| sampleData        OUT     '0'             COMB   
 | |
| "
 | |
| tm "SmSignalsGenStatusTextMgr"
 | |
| )
 | |
| )
 | |
| stateRegBlock *117 (BiTextGroup
 | |
| uid 21,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 22,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "113000,-1000,123800,0"
 | |
| st "State Register Statements"
 | |
| blo "113000,-200"
 | |
| )
 | |
| second (MLText
 | |
| uid 23,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "113000,0,113000,0"
 | |
| tm "Actions"
 | |
| )
 | |
| associable 1
 | |
| )
 | |
| )
 | |
| genChar (SmGenChar
 | |
| uid 38,0
 | |
| nextStateClocking 0
 | |
| )
 | |
| encoding (Encoding
 | |
| scheme 3
 | |
| encodingStyles [
 | |
| (pair
 | |
| scheme 0
 | |
| style 0
 | |
| )
 | |
| (pair
 | |
| scheme 1
 | |
| style 1
 | |
| )
 | |
| (pair
 | |
| scheme 2
 | |
| style 0
 | |
| )
 | |
| (pair
 | |
| scheme 3
 | |
| style 0
 | |
| )
 | |
| (pair
 | |
| scheme 4
 | |
| style 0
 | |
| )
 | |
| (pair
 | |
| scheme 5
 | |
| style 0
 | |
| )
 | |
| ]
 | |
| otherValues [
 | |
| (pair
 | |
| scheme 0
 | |
| otherValue ""
 | |
| )
 | |
| (pair
 | |
| scheme 1
 | |
| otherValue ""
 | |
| )
 | |
| (pair
 | |
| scheme 2
 | |
| otherValue ""
 | |
| )
 | |
| (pair
 | |
| scheme 3
 | |
| otherValue ""
 | |
| )
 | |
| (pair
 | |
| scheme 4
 | |
| otherValue ""
 | |
| )
 | |
| (pair
 | |
| scheme 5
 | |
| otherValue ""
 | |
| )
 | |
| ]
 | |
| attribute 0
 | |
| synSafe 0
 | |
| outputEncodedLocals 0
 | |
| useVerilogParameterRange 0
 | |
| radix 0
 | |
| )
 | |
| stateOrder [
 | |
| &2
 | |
| &19
 | |
| &20
 | |
| &21
 | |
| &22
 | |
| &23
 | |
| &24
 | |
| &25
 | |
| &26
 | |
| &27
 | |
| &30
 | |
| &31
 | |
| &32
 | |
| &33
 | |
| &34
 | |
| &35
 | |
| &38
 | |
| &39
 | |
| &40
 | |
| &41
 | |
| &44
 | |
| &45
 | |
| &46
 | |
| &47
 | |
| &50
 | |
| &51
 | |
| ]
 | |
| name "csm"
 | |
| )
 | |
| ]
 | |
| lastUid 1653,0
 | |
| commonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| emptyRow *118 (LEmptyRow
 | |
| )
 | |
| uid 158,0
 | |
| optionalChildren [
 | |
| *119 (RefLabelRowHdr
 | |
| )
 | |
| *120 (TitleRowHdr
 | |
| )
 | |
| *121 (FilterRowHdr
 | |
| )
 | |
| *122 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *123 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *124 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *125 (NameColHdr
 | |
| tm "SmNameColHdrMgr"
 | |
| )
 | |
| *126 (ModeColHdr
 | |
| tm "SmModeColHdrMgr"
 | |
| )
 | |
| *127 (TypeColHdr
 | |
| tm "SmTypeColHdrMgr"
 | |
| )
 | |
| *128 (BoundsColHdr
 | |
| tm "SmBoundsColHdrMgr"
 | |
| )
 | |
| *129 (InitColHdr
 | |
| tm "SmInitColHdrMgr"
 | |
| )
 | |
| *130 (ColumnHdr
 | |
| tm "SmCategoryColHdrMgr"
 | |
| )
 | |
| *131 (ColumnHdr
 | |
| tm "SmAssignColHdrMgr"
 | |
| )
 | |
| *132 (ColumnHdr
 | |
| tm "SmExprColHdrMgr"
 | |
| )
 | |
| *133 (ColumnHdr
 | |
| tm "SmSchemeColHdrMgr"
 | |
| )
 | |
| *134 (ColumnHdr
 | |
| tm "SmDefValColHdrMgr"
 | |
| )
 | |
| *135 (ColumnHdr
 | |
| tm "SmRstValColHdrMgr"
 | |
| )
 | |
| *136 (EolColHdr
 | |
| tm "SmEolColHdrMgr"
 | |
| )
 | |
| *137 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| )
 | |
| )
 | |
| uid 152,0
 | |
| cat 3
 | |
| expr "rising_edge(clock)"
 | |
| )
 | |
| *138 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| )
 | |
| )
 | |
| uid 154,0
 | |
| cat 9
 | |
| expr "reset = '1'"
 | |
| )
 | |
| *139 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "endOfRefreshCount"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| )
 | |
| )
 | |
| uid 226,0
 | |
| ass ""
 | |
| )
 | |
| *140 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "powerUpDone"
 | |
| t "std_ulogic"
 | |
| o 13
 | |
| )
 | |
| )
 | |
| uid 228,0
 | |
| scheme 0
 | |
| defVal "'1'"
 | |
| )
 | |
| *141 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "commandBus"
 | |
| t "std_ulogic_vector"
 | |
| b "( commandBusBitNb-1 DOWNTO 0 )"
 | |
| o 12
 | |
| )
 | |
| )
 | |
| uid 323,0
 | |
| scheme 0
 | |
| defVal "nop"
 | |
| )
 | |
| *142 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "timerStart"
 | |
| t "std_ulogic"
 | |
| o 17
 | |
| )
 | |
| )
 | |
| uid 331,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *143 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "timerDone"
 | |
| t "std_ulogic_vector"
 | |
| b "( 1 TO maxDelayPeriodNb )"
 | |
| o 6
 | |
| )
 | |
| )
 | |
| uid 333,0
 | |
| ass ""
 | |
| )
 | |
| *144 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "addrSelPrecharge"
 | |
| t "std_ulogic"
 | |
| o 10
 | |
| )
 | |
| )
 | |
| uid 472,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *145 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "addrSelModeReg"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| )
 | |
| )
 | |
| uid 530,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *146 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "writeAck"
 | |
| t "std_ulogic"
 | |
| o 18
 | |
| )
 | |
| )
 | |
| uid 867,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *147 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "writeRequest"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| )
 | |
| )
 | |
| uid 869,0
 | |
| ass ""
 | |
| )
 | |
| *148 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "addrSelCol"
 | |
| t "std_ulogic"
 | |
| o 8
 | |
| )
 | |
| )
 | |
| uid 996,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *149 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "addrSelRow"
 | |
| t "std_ulogic"
 | |
| o 11
 | |
| )
 | |
| )
 | |
| uid 998,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *150 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "ramEn"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| )
 | |
| )
 | |
| uid 1179,0
 | |
| ass ""
 | |
| )
 | |
| *151 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "readAck"
 | |
| t "std_ulogic"
 | |
| o 15
 | |
| )
 | |
| )
 | |
| uid 1181,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *152 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "readRequest"
 | |
| t "std_ulogic"
 | |
| o 4
 | |
| )
 | |
| )
 | |
| uid 1183,0
 | |
| )
 | |
| *153 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "ramDataValid"
 | |
| t "std_ulogic"
 | |
| o 14
 | |
| )
 | |
| )
 | |
| uid 1185,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| *154 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "sampleData"
 | |
| t "std_ulogic"
 | |
| o 16
 | |
| )
 | |
| )
 | |
| uid 1187,0
 | |
| scheme 0
 | |
| defVal "'0'"
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 177,0
 | |
| optionalChildren [
 | |
| *155 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *156 (MRCItem
 | |
| litem &118
 | |
| pos 18
 | |
| dimension 20
 | |
| )
 | |
| uid 179,0
 | |
| optionalChildren [
 | |
| *157 (MRCItem
 | |
| litem &119
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 180,0
 | |
| )
 | |
| *158 (MRCItem
 | |
| litem &120
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 181,0
 | |
| )
 | |
| *159 (MRCItem
 | |
| litem &121
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 182,0
 | |
| )
 | |
| *160 (MRCItem
 | |
| litem &137
 | |
| pos 4
 | |
| dimension 20
 | |
| uid 153,0
 | |
| )
 | |
| *161 (MRCItem
 | |
| litem &138
 | |
| pos 12
 | |
| dimension 20
 | |
| uid 155,0
 | |
| )
 | |
| *162 (MRCItem
 | |
| litem &139
 | |
| pos 6
 | |
| dimension 20
 | |
| uid 225,0
 | |
| )
 | |
| *163 (MRCItem
 | |
| litem &140
 | |
| pos 7
 | |
| dimension 20
 | |
| uid 227,0
 | |
| )
 | |
| *164 (MRCItem
 | |
| litem &141
 | |
| pos 5
 | |
| dimension 20
 | |
| uid 322,0
 | |
| )
 | |
| *165 (MRCItem
 | |
| litem &142
 | |
| pos 15
 | |
| dimension 20
 | |
| uid 330,0
 | |
| )
 | |
| *166 (MRCItem
 | |
| litem &143
 | |
| pos 14
 | |
| dimension 20
 | |
| uid 332,0
 | |
| )
 | |
| *167 (MRCItem
 | |
| litem &144
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 471,0
 | |
| )
 | |
| *168 (MRCItem
 | |
| litem &145
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 529,0
 | |
| )
 | |
| *169 (MRCItem
 | |
| litem &146
 | |
| pos 16
 | |
| dimension 20
 | |
| uid 866,0
 | |
| )
 | |
| *170 (MRCItem
 | |
| litem &147
 | |
| pos 17
 | |
| dimension 20
 | |
| uid 868,0
 | |
| )
 | |
| *171 (MRCItem
 | |
| litem &148
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 995,0
 | |
| )
 | |
| *172 (MRCItem
 | |
| litem &149
 | |
| pos 3
 | |
| dimension 20
 | |
| uid 997,0
 | |
| )
 | |
| *173 (MRCItem
 | |
| litem &150
 | |
| pos 9
 | |
| dimension 20
 | |
| uid 1178,0
 | |
| )
 | |
| *174 (MRCItem
 | |
| litem &151
 | |
| pos 10
 | |
| dimension 20
 | |
| uid 1180,0
 | |
| )
 | |
| *175 (MRCItem
 | |
| litem &152
 | |
| pos 11
 | |
| dimension 20
 | |
| uid 1182,0
 | |
| )
 | |
| *176 (MRCItem
 | |
| litem &153
 | |
| pos 8
 | |
| dimension 20
 | |
| uid 1184,0
 | |
| )
 | |
| *177 (MRCItem
 | |
| litem &154
 | |
| pos 13
 | |
| dimension 20
 | |
| uid 1186,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 183,0
 | |
| optionalChildren [
 | |
| *178 (MRCItem
 | |
| litem &122
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 184,0
 | |
| )
 | |
| *179 (MRCItem
 | |
| litem &124
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 185,0
 | |
| )
 | |
| *180 (MRCItem
 | |
| litem &125
 | |
| pos 2
 | |
| dimension 156
 | |
| uid 186,0
 | |
| )
 | |
| *181 (MRCItem
 | |
| litem &126
 | |
| pos 3
 | |
| dimension 50
 | |
| uid 187,0
 | |
| )
 | |
| *182 (MRCItem
 | |
| litem &127
 | |
| pos 4
 | |
| dimension 80
 | |
| uid 188,0
 | |
| )
 | |
| *183 (MRCItem
 | |
| litem &128
 | |
| pos 5
 | |
| dimension 191
 | |
| uid 189,0
 | |
| )
 | |
| *184 (MRCItem
 | |
| litem &129
 | |
| pos 6
 | |
| dimension 40
 | |
| uid 190,0
 | |
| )
 | |
| *185 (MRCItem
 | |
| litem &130
 | |
| pos 7
 | |
| dimension 100
 | |
| uid 191,0
 | |
| )
 | |
| *186 (MRCItem
 | |
| litem &131
 | |
| pos 8
 | |
| dimension 60
 | |
| uid 192,0
 | |
| )
 | |
| *187 (MRCItem
 | |
| litem &132
 | |
| pos 9
 | |
| dimension 130
 | |
| uid 193,0
 | |
| )
 | |
| *188 (MRCItem
 | |
| litem &133
 | |
| pos 10
 | |
| dimension 56
 | |
| uid 194,0
 | |
| )
 | |
| *189 (MRCItem
 | |
| litem &134
 | |
| pos 11
 | |
| dimension 50
 | |
| uid 195,0
 | |
| )
 | |
| *190 (MRCItem
 | |
| litem &135
 | |
| pos 12
 | |
| dimension 50
 | |
| uid 196,0
 | |
| )
 | |
| *191 (MRCItem
 | |
| litem &136
 | |
| pos 13
 | |
| dimension 80
 | |
| uid 197,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 4
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 178,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 157,0
 | |
| )
 | |
| cdmCsm &1
 | |
| genericsCommonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| emptyRow *192 (LEmptyRow
 | |
| )
 | |
| uid 199,0
 | |
| optionalChildren [
 | |
| *193 (RefLabelRowHdr
 | |
| )
 | |
| *194 (TitleRowHdr
 | |
| )
 | |
| *195 (FilterRowHdr
 | |
| )
 | |
| *196 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *197 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *198 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *199 (NameColHdr
 | |
| tm "GenericNameColHdrMgr"
 | |
| )
 | |
| *200 (TypeColHdr
 | |
| tm "GenericTypeColHdrMgr"
 | |
| )
 | |
| *201 (InitColHdr
 | |
| tm "GenericValueColHdrMgr"
 | |
| )
 | |
| *202 (PragmaColHdr
 | |
| tm "GenericPragmaColHdrMgr"
 | |
| )
 | |
| *203 (EolColHdr
 | |
| tm "GenericEolColHdrMgr"
 | |
| )
 | |
| *204 (LogGeneric
 | |
| generic (GiElement
 | |
| name "commandBusBitNb"
 | |
| type "positive"
 | |
| value "6"
 | |
| )
 | |
| uid 1301,0
 | |
| )
 | |
| *205 (LogGeneric
 | |
| generic (GiElement
 | |
| name "maxDelayPeriodNb"
 | |
| type "positive"
 | |
| value "10"
 | |
| )
 | |
| uid 1361,0
 | |
| )
 | |
| *206 (LogGeneric
 | |
| generic (GiElement
 | |
| name "prechargeToRefreshPeriodNb"
 | |
| type "positive"
 | |
| value "2"
 | |
| e "66MHz * 20 ns = 1.32"
 | |
| )
 | |
| uid 1507,0
 | |
| )
 | |
| *207 (LogGeneric
 | |
| generic (GiElement
 | |
| name "refreshDelayPeriodNb"
 | |
| type "positive"
 | |
| value "5"
 | |
| e "66MHz * 66ns = 4.356"
 | |
| )
 | |
| uid 1547,0
 | |
| )
 | |
| *208 (LogGeneric
 | |
| generic (GiElement
 | |
| name "loadModeToActivePeriodNb"
 | |
| type "positive"
 | |
| value "1"
 | |
| e "1 CK"
 | |
| )
 | |
| uid 1549,0
 | |
| )
 | |
| *209 (LogGeneric
 | |
| generic (GiElement
 | |
| name "activeToWritePeriodNb"
 | |
| type "positive"
 | |
| value "2"
 | |
| e "66MHz * 20ns = 1.32"
 | |
| )
 | |
| uid 1551,0
 | |
| )
 | |
| *210 (LogGeneric
 | |
| generic (GiElement
 | |
| name "writeToActivePeriodNb"
 | |
| type "positive"
 | |
| value "3"
 | |
| e "1 CK + 66MHz * 20ns = 2.32"
 | |
| )
 | |
| uid 1553,0
 | |
| )
 | |
| *211 (LogGeneric
 | |
| generic (GiElement
 | |
| name "activeToReadPeriodNb"
 | |
| type "positive"
 | |
| value "2"
 | |
| e "66MHz * 20ns = 1.32"
 | |
| )
 | |
| uid 1555,0
 | |
| )
 | |
| *212 (LogGeneric
 | |
| generic (GiElement
 | |
| name "readToSamplePeriodNb"
 | |
| type "positive"
 | |
| value "2"
 | |
| e "2 CK with latency = 2"
 | |
| )
 | |
| uid 1557,0
 | |
| )
 | |
| *213 (LogGeneric
 | |
| generic (GiElement
 | |
| name "readToActivePeriodNb"
 | |
| type "positive"
 | |
| value "3"
 | |
| e "1 CK + 66MHz * 20ns = 2.32"
 | |
| )
 | |
| uid 1559,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 211,0
 | |
| optionalChildren [
 | |
| *214 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *215 (MRCItem
 | |
| litem &192
 | |
| pos 10
 | |
| dimension 20
 | |
| )
 | |
| uid 213,0
 | |
| optionalChildren [
 | |
| *216 (MRCItem
 | |
| litem &193
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 214,0
 | |
| )
 | |
| *217 (MRCItem
 | |
| litem &194
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 215,0
 | |
| )
 | |
| *218 (MRCItem
 | |
| litem &195
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 216,0
 | |
| )
 | |
| *219 (MRCItem
 | |
| litem &204
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 1300,0
 | |
| )
 | |
| *220 (MRCItem
 | |
| litem &205
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 1360,0
 | |
| )
 | |
| *221 (MRCItem
 | |
| litem &206
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 1508,0
 | |
| )
 | |
| *222 (MRCItem
 | |
| litem &207
 | |
| pos 3
 | |
| dimension 20
 | |
| uid 1548,0
 | |
| )
 | |
| *223 (MRCItem
 | |
| litem &208
 | |
| pos 4
 | |
| dimension 20
 | |
| uid 1550,0
 | |
| )
 | |
| *224 (MRCItem
 | |
| litem &209
 | |
| pos 5
 | |
| dimension 20
 | |
| uid 1552,0
 | |
| )
 | |
| *225 (MRCItem
 | |
| litem &210
 | |
| pos 6
 | |
| dimension 20
 | |
| uid 1554,0
 | |
| )
 | |
| *226 (MRCItem
 | |
| litem &211
 | |
| pos 7
 | |
| dimension 20
 | |
| uid 1556,0
 | |
| )
 | |
| *227 (MRCItem
 | |
| litem &212
 | |
| pos 8
 | |
| dimension 20
 | |
| uid 1558,0
 | |
| )
 | |
| *228 (MRCItem
 | |
| litem &213
 | |
| pos 9
 | |
| dimension 20
 | |
| uid 1560,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 217,0
 | |
| optionalChildren [
 | |
| *229 (MRCItem
 | |
| litem &196
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 218,0
 | |
| )
 | |
| *230 (MRCItem
 | |
| litem &198
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 219,0
 | |
| )
 | |
| *231 (MRCItem
 | |
| litem &199
 | |
| pos 2
 | |
| dimension 311
 | |
| uid 220,0
 | |
| )
 | |
| *232 (MRCItem
 | |
| litem &200
 | |
| pos 3
 | |
| dimension 183
 | |
| uid 221,0
 | |
| )
 | |
| *233 (MRCItem
 | |
| litem &201
 | |
| pos 4
 | |
| dimension 54
 | |
| uid 222,0
 | |
| )
 | |
| *234 (MRCItem
 | |
| litem &202
 | |
| pos 5
 | |
| dimension 50
 | |
| uid 223,0
 | |
| )
 | |
| *235 (MRCItem
 | |
| litem &203
 | |
| pos 6
 | |
| dimension 349
 | |
| uid 224,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 4
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 212,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 198,0
 | |
| type 1
 | |
| )
 | |
| signalSuffix "_int"
 | |
| clockSuffix "_cld"
 | |
| defaultState (State
 | |
| shape (Circle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-3000,-3000,3000,3000"
 | |
| radius 3000
 | |
| )
 | |
| name (Text
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "0,0,1800,1200"
 | |
| st "s0"
 | |
| ju 0
 | |
| blo "900,1000"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "1000,900,4600,2100"
 | |
| st "wait 2"
 | |
| blo "1000,1900"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| blo "0,0"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "3900,3100,4100,3300"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "-450,-175,-100,175"
 | |
| )
 | |
| btri (Triangle
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "-450,-175,-100,175"
 | |
| )
 | |
| entryActions (MLText
 | |
| va (VaSet
 | |
| )
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| va (VaSet
 | |
| )
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| va (VaSet
 | |
| )
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-1600,1000,3900,1900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultWaitState (State
 | |
| shape (CircleInOctagon
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,65535"
 | |
| lineColor "26368,26368,26368"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-529,-529,6529,6529"
 | |
| )
 | |
| name (Text
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "0,0,1800,1200"
 | |
| st "s0"
 | |
| ju 0
 | |
| blo "900,1000"
 | |
| tm "ONodeName"
 | |
| )
 | |
| wait (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| fg "0,0,32768"
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "1000,900,4600,2100"
 | |
| st "wait 2"
 | |
| blo "1000,1900"
 | |
| tm "SmWaitText"
 | |
| )
 | |
| )
 | |
| encoding (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| blo "0,0"
 | |
| tm "SmEncodingMgr"
 | |
| )
 | |
| actionBlk (SmStateActionsBlock
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "8900,6100,9100,6300"
 | |
| )
 | |
| autoResize 1
 | |
| tline (Line
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "5000,3000,5000,3000"
 | |
| pts [
 | |
| "5000,3000"
 | |
| "5000,3000"
 | |
| ]
 | |
| )
 | |
| bline (Line
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "5000,3000,5000,3000"
 | |
| pts [
 | |
| "5000,3000"
 | |
| "5000,3000"
 | |
| ]
 | |
| )
 | |
| ttri (Triangle
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "4550,2825,4900,3175"
 | |
| )
 | |
| btri (Triangle
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "0,32768,49152"
 | |
| bg "0,0,0"
 | |
| lineColor "39936,56832,65280"
 | |
| lineWidth -1
 | |
| )
 | |
| xt "4550,2825,4900,3175"
 | |
| )
 | |
| entryActions (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5000,3000,5000,3000"
 | |
| tm "Actions"
 | |
| )
 | |
| inActions (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5000,3000,5000,3000"
 | |
| tm "Actions"
 | |
| )
 | |
| exitActions (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "5000,3000,5000,3000"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-1600,1000,3900,1900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| isWait 1
 | |
| )
 | |
| defaultCompositeState (CompositeState
 | |
| shape (TripleCircle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "29952,39936,65280"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-3000,-3000,3000,3000"
 | |
| radius 3000
 | |
| )
 | |
| name (Text
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "-900,-600,900,600"
 | |
| st "s0"
 | |
| ju 0
 | |
| blo "0,400"
 | |
| tm "ONodeName"
 | |
| )
 | |
| childDiagram &0
 | |
| )
 | |
| defaultJunction (Junction
 | |
| shape (Diamond
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| )
 | |
| xt "-1150,-1150,2150,2150"
 | |
| )
 | |
| symbol (Text
 | |
| va (VaSet
 | |
| font "courier,10,1"
 | |
| )
 | |
| xt "-150,-100,1150,1100"
 | |
| st "&"
 | |
| ju 0
 | |
| blo "500,900"
 | |
| )
 | |
| name (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "2000,1000,2000,1000"
 | |
| blo "2000,1000"
 | |
| tm "JunctionName"
 | |
| )
 | |
| )
 | |
| caseExpr (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-1850,2000,3650,2900"
 | |
| st "CASE: expr"
 | |
| tm "SmCaseExpr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultEntryPoint (EntryPoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "29952,39936,65280"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-875,875,1375,1875"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "1375,1375,1875,1375"
 | |
| pts [
 | |
| "1375,1375"
 | |
| "1875,1375"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| defaultInterruptPoint (InterruptPoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-875,875,1375,1875"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "1375,1375,1875,1375"
 | |
| pts [
 | |
| "1375,1375"
 | |
| "1875,1375"
 | |
| ]
 | |
| )
 | |
| (CustomPolygon
 | |
| pts [
 | |
| "-625,1600"
 | |
| "-625,1300"
 | |
| "25,1425"
 | |
| "-75,1150"
 | |
| "1025,1350"
 | |
| "200,1350"
 | |
| "375,1600"
 | |
| ]
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| bg "65535,0,0"
 | |
| lineColor "65535,65535,0"
 | |
| )
 | |
| xt "-625,1150,1025,1600"
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| defaultLink (Link
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| bg "0,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-375,875,1875,1875"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-875,1375,-375,1375"
 | |
| pts [
 | |
| "-875,1375"
 | |
| "-375,1375"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "2375,875,4375,1875"
 | |
| st "Link"
 | |
| blo "2375,1675"
 | |
| tm "LinkName"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultExitPoint (ExitPoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "29952,39936,65280"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-375,875,1875,1875"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-875,1375,-375,1375"
 | |
| pts [
 | |
| "-875,1375"
 | |
| "-375,1375"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| defaultTransition (Transition
 | |
| shape (Spline
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| arrow 1
 | |
| )
 | |
| ss 0
 | |
| es 0
 | |
| cond "condition"
 | |
| tb (TransitionBlock
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "-500,-500,3900,1500"
 | |
| )
 | |
| autoResize 1
 | |
| lineShape (Line
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| isHidden 1
 | |
| )
 | |
| xt "1700,1400,1700,1400"
 | |
| pts [
 | |
| "1700,1400"
 | |
| "1700,1400"
 | |
| ]
 | |
| )
 | |
| condition (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,0,5400,1000"
 | |
| st "condition"
 | |
| tm "Condition"
 | |
| )
 | |
| actions (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "1700,1800,1700,1800"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| tp (TransitionPriority
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "-781,-781,781,781"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "-400,-500,400,500"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "0,300"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| )
 | |
| defaultClk (SmClockPoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-625,625,1625,1625"
 | |
| )
 | |
| (OrthoPolyLine
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "275,825,874,1425"
 | |
| pts [
 | |
| "275,1425"
 | |
| "574,1425"
 | |
| "574,825"
 | |
| "874,825"
 | |
| ]
 | |
| )
 | |
| (Arc2D
 | |
| pts [
 | |
| "-116,1278"
 | |
| "-371,972"
 | |
| "-116,972"
 | |
| ]
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| )
 | |
| xt "-441,926,-116,1323"
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-2425,625,-1125,1625"
 | |
| st "clk"
 | |
| ju 2
 | |
| blo "-1125,1425"
 | |
| tm "SmControlSignalNameMgr"
 | |
| )
 | |
| )
 | |
| cond (SmControlCondition
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "1625,525,8225,1725"
 | |
| )
 | |
| autoResize 1
 | |
| cond (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "1725,625,10225,1525"
 | |
| st "rising_edge(clk)"
 | |
| tm "SmControlConditionMgr"
 | |
| )
 | |
| )
 | |
| edge 4
 | |
| )
 | |
| defaultEnable (SmEnablePoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-625,625,1625,1625"
 | |
| )
 | |
| (OrthoPolyLine
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "275,825,874,1425"
 | |
| pts [
 | |
| "874,1425"
 | |
| "574,1425"
 | |
| "574,825"
 | |
| "275,825"
 | |
| ]
 | |
| )
 | |
| (Arc2D
 | |
| pts [
 | |
| "-130,1263"
 | |
| "-415,1064"
 | |
| "-76,1064"
 | |
| ]
 | |
| layer 10
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| transparent 1
 | |
| )
 | |
| xt "-425,943,-76,1304"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-415,1064,-106,1064"
 | |
| pts [
 | |
| "-415,1064"
 | |
| "-106,1064"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| name (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-3725,625,-1125,1625"
 | |
| st "enable"
 | |
| ju 2
 | |
| blo "-1125,1425"
 | |
| tm "SmControlSignalNameMgr"
 | |
| )
 | |
| )
 | |
| cond (SmControlCondition
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "1625,525,3825,1725"
 | |
| )
 | |
| autoResize 1
 | |
| cond (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "1725,625,3725,1525"
 | |
| st "cond"
 | |
| tm "SmControlConditionMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultRst (SmResetPoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-625,625,1625,1625"
 | |
| )
 | |
| (OrthoPolyLine
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "275,825,874,1425"
 | |
| pts [
 | |
| "874,825"
 | |
| "574,825"
 | |
| "574,1425"
 | |
| "275,1425"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-376,950,-276,1000"
 | |
| pts [
 | |
| "-376,1000"
 | |
| "-276,950"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-376,950,-376,1300"
 | |
| pts [
 | |
| "-376,1300"
 | |
| "-376,950"
 | |
| ]
 | |
| )
 | |
| (Circle
 | |
| layer 10
 | |
| sl 0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| isHidden 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| xt "424,975,724,1275"
 | |
| radius 150
 | |
| )
 | |
| ]
 | |
| )
 | |
| cond (SmControlCondition
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,32768,49152"
 | |
| )
 | |
| xt "-1125,-975,2075,225"
 | |
| )
 | |
| autoResize 1
 | |
| cond (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-1025,-875,3675,25"
 | |
| st "rst = '1'"
 | |
| tm "SmControlConditionMgr"
 | |
| )
 | |
| )
 | |
| prio (TransitionPriority
 | |
| ps "PercentageFromStartStrategy"
 | |
| shape (Circle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "1625,344,3187,1906"
 | |
| radius 781
 | |
| )
 | |
| pr (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "2006,625,2806,1625"
 | |
| st "1"
 | |
| ju 0
 | |
| blo "2406,1425"
 | |
| tm "TransitionPriority"
 | |
| )
 | |
| padding "100,100"
 | |
| )
 | |
| name (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-1925,625,-625,1625"
 | |
| st "rst"
 | |
| ju 2
 | |
| blo "-625,1425"
 | |
| tm "SmControlSignalNameMgr"
 | |
| )
 | |
| )
 | |
| actions (TextAssociate
 | |
| ps "CenterOffsetStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "4750,2625,11750,3525"
 | |
| st "< Automatic >"
 | |
| tm "Actions"
 | |
| )
 | |
| )
 | |
| level 1
 | |
| )
 | |
| defaultRecStatePt (SmRecoveryStatePoint
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,0,0"
 | |
| )
 | |
| optionalChildren [
 | |
| (Circle
 | |
| sl 0
 | |
| xt "-900,-900,900,900"
 | |
| radius 900
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineColor "65535,65535,0"
 | |
| lineWidth 1
 | |
| )
 | |
| xt "-426,-426,426,426"
 | |
| pts [
 | |
| "-426,426"
 | |
| "426,-426"
 | |
| ]
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineColor "65535,65535,0"
 | |
| lineWidth 1
 | |
| )
 | |
| xt "-426,-426,426,426"
 | |
| pts [
 | |
| "426,426"
 | |
| "-426,-426"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| activeModelName "StateMachine"
 | |
| LanguageMgr "Vhdl2008LangMgr"
 | |
| )
 |