Compare commits
	
		
			1 Commits
		
	
	
		
			536477d656
			...
			morse
		
	
	| Author | SHA1 | Date | |
|---|---|---|---|
| 14f542a674 | 
| @@ -94,6 +94,15 @@ BEGIN | ||||
|  | ||||
|  | ||||
|   process(reset, clock) begin | ||||
|     if reset = '1' then | ||||
|       register1 <= SPACE; | ||||
|       register2 <= SPACE; | ||||
|       register3 <= SPACE; | ||||
|       register4 <= SPACE; | ||||
|       register5 <= SPACE; | ||||
|       signSendRegisters <= '0'; | ||||
|     elsif rising_edge(clock) then | ||||
|  | ||||
|       case general_current_state is | ||||
|         when waitForChar => | ||||
|           --report "General current state is wait for char" severity note; | ||||
| @@ -339,9 +348,11 @@ BEGIN | ||||
|           --report "General current state is send registers" severity note; | ||||
|           readChar <= '0'; | ||||
|           signSendRegisters <= '0'; | ||||
|         if signRegistersSended then | ||||
|           if signRegistersSended = '1' then | ||||
|             general_next_state <= sended; | ||||
|             report "Char is send" severity note; | ||||
|           else | ||||
|             general_next_state <= sendRegisters; | ||||
|           end if; | ||||
|  | ||||
|         when sended => | ||||
| @@ -358,9 +369,18 @@ BEGIN | ||||
|           general_next_state <= waitForChar; | ||||
|  | ||||
|       end case; | ||||
|  | ||||
|     end if; | ||||
|   end process; | ||||
|  | ||||
|   process(reset, clock) begin | ||||
|     if reset = '1' then  | ||||
|        morseOut <= '0'; | ||||
|       startCounter <= '0'; | ||||
|       unitNb <= "000"; | ||||
|       signRegistersSended <= '0'; | ||||
|     elsif rising_edge(clock) then | ||||
|  | ||||
|       case sending_current_state is | ||||
|  | ||||
|         when waiting => | ||||
| @@ -376,7 +396,7 @@ BEGIN | ||||
|           end if; | ||||
|  | ||||
|         when sendR1 => | ||||
|         report "Send register1" severity note; | ||||
|           --report "Send register1" severity note; | ||||
|           startCounter <= '1'; | ||||
|           morseOut <= '1'; | ||||
|           case register1 is | ||||
| @@ -398,7 +418,7 @@ BEGIN | ||||
|           end case; | ||||
|  | ||||
|         when waitR1 => | ||||
|         report "Wait register1" severity note; | ||||
|           --report "Wait register1" severity note; | ||||
|           startCounter <= '0'; | ||||
|           if counterDone = '1' then | ||||
|             morseOut <= '0'; | ||||
| @@ -406,7 +426,7 @@ BEGIN | ||||
|           end if; | ||||
|          | ||||
|         when sendR2 => | ||||
|         report "Send register2" severity note; | ||||
|           --report "Send register2" severity note; | ||||
|           startCounter <= '1'; | ||||
|           morseOut <= '1'; | ||||
|           case register2 is | ||||
| @@ -428,7 +448,7 @@ BEGIN | ||||
|           end case; | ||||
|  | ||||
|         when waitR2 => | ||||
|         report "Wait register2" severity note; | ||||
|           --report "Wait register2" severity note; | ||||
|           startCounter <= '0'; | ||||
|           if counterDone = '1' then | ||||
|             morseOut <= '0'; | ||||
| @@ -436,7 +456,7 @@ BEGIN | ||||
|           end if; | ||||
|          | ||||
|         when sendR3 => | ||||
|         report "Send register3" severity note; | ||||
|           --report "Send register3" severity note; | ||||
|           startCounter <= '1'; | ||||
|           morseOut <= '1'; | ||||
|           case register3 is | ||||
| @@ -520,6 +540,7 @@ BEGIN | ||||
|           sending_next_state <= waiting; | ||||
|  | ||||
|       end case; | ||||
|     end if; | ||||
|   end process; | ||||
|  | ||||
|  | ||||
|   | ||||
										
											Binary file not shown.
										
									
								
							
										
											Binary file not shown.
										
									
								
							
		Reference in New Issue
	
	Block a user