Archived
1
0

fix coeff calculation

This commit is contained in:
2024-03-10 21:50:07 +01:00
parent cf05b0a7f9
commit e187e34017
8 changed files with 37 additions and 16 deletions

View File

@@ -7,11 +7,13 @@ BEGIN
process(clock, reset)
begin
if reset = '1' then
counter <= (others => '1');
counter <= (others => '0');
elsif rising_edge(clock) then
if en = '1' then
counter <= counter - 1;
end if;
end if;
end process;
@@ -23,5 +25,5 @@ BEGIN
triggerOut <= '0';
end if;
end process;
END ARCHITECTURE studentVersion;