mirror of
				https://github.com/Klagarge/Cursor.git
				synced 2025-10-31 14:09:17 +00:00 
			
		
		
		
	
		
			
				
	
	
		
			7038 lines
		
	
	
		
			84 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
			
		
		
	
	
			7038 lines
		
	
	
		
			84 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
| DocumentHdrVersion "1.1"
 | |
| Header (DocumentHdr
 | |
| version 2
 | |
| dialect 11
 | |
| dmPackageRefs [
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "std_logic_1164"
 | |
| )
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "numeric_std"
 | |
| itemName "ALL"
 | |
| )
 | |
| ]
 | |
| instances [
 | |
| (Instance
 | |
| name "I_rom"
 | |
| duLibraryName "Memory"
 | |
| duName "bramDualportWritefirst"
 | |
| elements [
 | |
| (GiElement
 | |
| name "addressBitNb"
 | |
| type "positive"
 | |
| value "asciiBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "characterPixelNb"
 | |
| )
 | |
| (GiElement
 | |
| name "initFile"
 | |
| type "string"
 | |
| value "\"$SIMULATION_DIR/lcdFont.txt\""
 | |
| )
 | |
| ]
 | |
| mwi 0
 | |
| uid 12026,0
 | |
| )
 | |
| (Instance
 | |
| name "I_ser"
 | |
| duLibraryName "Lcd"
 | |
| duName "lcdSerializer"
 | |
| elements [
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "baudRateDivide"
 | |
| type "integer"
 | |
| value "baudRateDivide"
 | |
| )
 | |
| ]
 | |
| mwi 0
 | |
| uid 12076,0
 | |
| )
 | |
| (Instance
 | |
| name "I_init"
 | |
| duLibraryName "Lcd"
 | |
| duName "lcdInitializer"
 | |
| elements [
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| ]
 | |
| mwi 0
 | |
| uid 12519,0
 | |
| )
 | |
| (Instance
 | |
| name "I_font"
 | |
| duLibraryName "Lcd"
 | |
| duName "lcdCharacterEncoder"
 | |
| elements [
 | |
| (GiElement
 | |
| name "asciiBitNb"
 | |
| type "positive"
 | |
| value "asciiBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdDataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "fontColumnNb"
 | |
| type "positive"
 | |
| value "fontColumnNb"
 | |
| )
 | |
| (GiElement
 | |
| name "fontRowNb"
 | |
| type "positive"
 | |
| value "fontRowNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdPageNb"
 | |
| type "positive"
 | |
| value "lcdPageNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdColumnNb"
 | |
| type "positive"
 | |
| value "lcdColumnNb"
 | |
| )
 | |
| ]
 | |
| mwi 0
 | |
| uid 12569,0
 | |
| )
 | |
| ]
 | |
| embeddedInstances [
 | |
| (EmbeddedInstance
 | |
| name "eb1"
 | |
| number "1"
 | |
| )
 | |
| ]
 | |
| libraryRefs [
 | |
| "ieee"
 | |
| ]
 | |
| )
 | |
| version "32.1"
 | |
| appVersion "2019.2 (Build 5)"
 | |
| noEmbeddedEditors 1
 | |
| model (BlockDiag
 | |
| VExpander (VariableExpander
 | |
| vvMap [
 | |
| (vvPair
 | |
| variable " "
 | |
| value " "
 | |
| )
 | |
| (vvPair
 | |
| variable "HDLDir"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl"
 | |
| )
 | |
| (vvPair
 | |
| variable "HDSDir"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataDesignDir"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.info"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataUserDir"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.user"
 | |
| )
 | |
| (vvPair
 | |
| variable "SourceDir"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "appl"
 | |
| value "HDL Designer"
 | |
| )
 | |
| (vvPair
 | |
| variable "arch_name"
 | |
| value "struct"
 | |
| )
 | |
| (vvPair
 | |
| variable "concat_file"
 | |
| value "concatenated"
 | |
| )
 | |
| (vvPair
 | |
| variable "config"
 | |
| value "%(unit)_%(view)_config"
 | |
| )
 | |
| (vvPair
 | |
| variable "d"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller"
 | |
| )
 | |
| (vvPair
 | |
| variable "d_logical"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController"
 | |
| )
 | |
| (vvPair
 | |
| variable "date"
 | |
| value "14.10.2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "day"
 | |
| value "Mon"
 | |
| )
 | |
| (vvPair
 | |
| variable "day_long"
 | |
| value "Monday"
 | |
| )
 | |
| (vvPair
 | |
| variable "dd"
 | |
| value "14"
 | |
| )
 | |
| (vvPair
 | |
| variable "designName"
 | |
| value "$DESIGN_NAME"
 | |
| )
 | |
| (vvPair
 | |
| variable "entity_name"
 | |
| value "lcdController"
 | |
| )
 | |
| (vvPair
 | |
| variable "ext"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "f"
 | |
| value "struct.bd"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_logical"
 | |
| value "struct.bd"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_noext"
 | |
| value "struct"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_author"
 | |
| value "silvan.zahno"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_date"
 | |
| value "14.10.2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_group"
 | |
| value "UNKNOWN"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_host"
 | |
| value "WE6996"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_time"
 | |
| value "10:35:46"
 | |
| )
 | |
| (vvPair
 | |
| variable "group"
 | |
| value "UNKNOWN"
 | |
| )
 | |
| (vvPair
 | |
| variable "host"
 | |
| value "WE6996"
 | |
| )
 | |
| (vvPair
 | |
| variable "language"
 | |
| value "VHDL"
 | |
| )
 | |
| (vvPair
 | |
| variable "library"
 | |
| value "LCD"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_Concatenation"
 | |
| value "$HDS_PROJECT_DIR/../libs/SPI/concat"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_Generic_1_file"
 | |
| value "U:\\ELN_Board\\Synthesis"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_HdsLintPlugin"
 | |
| value "$HDS_PROJECT_DIR/../libs/SPI/designcheck"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSim"
 | |
| value "D:\\Users\\ELN_labs\\VHDL_comp"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSimCompiler"
 | |
| value "$SCRATCH_DIR/Libraries/LCD/work"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_SpyGlass"
 | |
| value "U:\\ELN_Board\\Synthesis"
 | |
| )
 | |
| (vvPair
 | |
| variable "mm"
 | |
| value "10"
 | |
| )
 | |
| (vvPair
 | |
| variable "module_name"
 | |
| value "lcdController"
 | |
| )
 | |
| (vvPair
 | |
| variable "month"
 | |
| value "Oct"
 | |
| )
 | |
| (vvPair
 | |
| variable "month_long"
 | |
| value "October"
 | |
| )
 | |
| (vvPair
 | |
| variable "p"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd"
 | |
| )
 | |
| (vvPair
 | |
| variable "p_logical"
 | |
| value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController\\struct.bd"
 | |
| )
 | |
| (vvPair
 | |
| variable "package_name"
 | |
| value "<Undefined Variable>"
 | |
| )
 | |
| (vvPair
 | |
| variable "project_name"
 | |
| value "hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "series"
 | |
| value "HDL Designer Series"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ADMS"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ActelPath"
 | |
| value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_DesignCompilerPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_HDSPath"
 | |
| value "$HDS_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEBinPath"
 | |
| value "$ISE_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEPath"
 | |
| value "$SCRATCH_DIR\\BoardTester\\Board\\ise"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_LeonardoPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ModelSimPath"
 | |
| value "$MODELSIM_HOME/modeltech/bin"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_NC"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_NC-SimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_PrecisionRTLPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_QuestaSimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_VCSPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_ext"
 | |
| value "bd"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file"
 | |
| value "struct"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file_logical"
 | |
| value "struct"
 | |
| )
 | |
| (vvPair
 | |
| variable "time"
 | |
| value "10:35:46"
 | |
| )
 | |
| (vvPair
 | |
| variable "unit"
 | |
| value "lcdController"
 | |
| )
 | |
| (vvPair
 | |
| variable "user"
 | |
| value "silvan.zahno"
 | |
| )
 | |
| (vvPair
 | |
| variable "version"
 | |
| value "2019.2 (Build 5)"
 | |
| )
 | |
| (vvPair
 | |
| variable "view"
 | |
| value "struct"
 | |
| )
 | |
| (vvPair
 | |
| variable "year"
 | |
| value "2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "yy"
 | |
| value "19"
 | |
| )
 | |
| ]
 | |
| )
 | |
| LanguageMgr "Vhdl2008LangMgr"
 | |
| uid 41,0
 | |
| optionalChildren [
 | |
| *1 (Grouping
 | |
| uid 9,0
 | |
| optionalChildren [
 | |
| *2 (CommentText
 | |
| uid 11,0
 | |
| shape (Rectangle
 | |
| uid 12,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "21000,89000,38000,90000"
 | |
| )
 | |
| oxt "18000,70000,35000,71000"
 | |
| text (MLText
 | |
| uid 13,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "21200,89500,21200,89500"
 | |
| st "
 | |
| by %user on %dd %month %year
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *3 (CommentText
 | |
| uid 14,0
 | |
| shape (Rectangle
 | |
| uid 15,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "38000,85000,42000,86000"
 | |
| )
 | |
| oxt "35000,66000,39000,67000"
 | |
| text (MLText
 | |
| uid 16,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "38200,85500,38200,85500"
 | |
| st "
 | |
| Project:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *4 (CommentText
 | |
| uid 17,0
 | |
| shape (Rectangle
 | |
| uid 18,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "21000,87000,38000,88000"
 | |
| )
 | |
| oxt "18000,68000,35000,69000"
 | |
| text (MLText
 | |
| uid 19,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "21200,87500,21200,87500"
 | |
| st "
 | |
| <enter diagram title here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *5 (CommentText
 | |
| uid 20,0
 | |
| shape (Rectangle
 | |
| uid 21,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,87000,21000,88000"
 | |
| )
 | |
| oxt "14000,68000,18000,69000"
 | |
| text (MLText
 | |
| uid 22,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,87500,17200,87500"
 | |
| st "
 | |
| Title:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *6 (CommentText
 | |
| uid 23,0
 | |
| shape (Rectangle
 | |
| uid 24,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "38000,86000,58000,90000"
 | |
| )
 | |
| oxt "35000,67000,55000,71000"
 | |
| text (MLText
 | |
| uid 25,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "38200,86200,52300,87400"
 | |
| st "
 | |
| <enter comments here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4000
 | |
| visibleWidth 20000
 | |
| )
 | |
| ignorePrefs 1
 | |
| )
 | |
| *7 (CommentText
 | |
| uid 26,0
 | |
| shape (Rectangle
 | |
| uid 27,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "42000,85000,58000,86000"
 | |
| )
 | |
| oxt "39000,66000,55000,67000"
 | |
| text (MLText
 | |
| uid 28,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "42200,85500,42200,85500"
 | |
| st "
 | |
| <enter project name here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 16000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *8 (CommentText
 | |
| uid 29,0
 | |
| shape (Rectangle
 | |
| uid 30,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,85000,38000,87000"
 | |
| )
 | |
| oxt "14000,66000,35000,68000"
 | |
| text (MLText
 | |
| uid 31,0
 | |
| va (VaSet
 | |
| fg "32768,0,0"
 | |
| )
 | |
| xt "22350,85400,32650,86600"
 | |
| st "
 | |
| <company name>
 | |
| "
 | |
| ju 0
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 2000
 | |
| visibleWidth 21000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *9 (CommentText
 | |
| uid 32,0
 | |
| shape (Rectangle
 | |
| uid 33,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,88000,21000,89000"
 | |
| )
 | |
| oxt "14000,69000,18000,70000"
 | |
| text (MLText
 | |
| uid 34,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,88500,17200,88500"
 | |
| st "
 | |
| Path:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *10 (CommentText
 | |
| uid 35,0
 | |
| shape (Rectangle
 | |
| uid 36,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,89000,21000,90000"
 | |
| )
 | |
| oxt "14000,70000,18000,71000"
 | |
| text (MLText
 | |
| uid 37,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,89500,17200,89500"
 | |
| st "
 | |
| Edited:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| *11 (CommentText
 | |
| uid 38,0
 | |
| shape (Rectangle
 | |
| uid 39,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "21000,88000,38000,89000"
 | |
| )
 | |
| oxt "18000,69000,35000,70000"
 | |
| text (MLText
 | |
| uid 40,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "21200,88500,21200,88500"
 | |
| st "
 | |
| %library/%unit/%view
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| )
 | |
| ]
 | |
| shape (GroupingShape
 | |
| uid 10,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineStyle 2
 | |
| lineWidth 2
 | |
| )
 | |
| xt "17000,85000,58000,90000"
 | |
| )
 | |
| oxt "14000,66000,55000,71000"
 | |
| )
 | |
| *12 (Net
 | |
| uid 6676,0
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 115,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 6677,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,56300,-63400,57300"
 | |
| st "reset        : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *13 (PortIoOut
 | |
| uid 6678,0
 | |
| shape (CompositeShape
 | |
| uid 6679,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 6680,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40500,16625,42000,17375"
 | |
| )
 | |
| (Line
 | |
| uid 6681,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40000,17000,40500,17000"
 | |
| pts [
 | |
| "40000,17000"
 | |
| "40500,17000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 6682,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6683,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "43000,16300,44400,17600"
 | |
| st "SI"
 | |
| blo "43000,17300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *14 (PortIoOut
 | |
| uid 6684,0
 | |
| shape (CompositeShape
 | |
| uid 6685,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 6686,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40500,18625,42000,19375"
 | |
| )
 | |
| (Line
 | |
| uid 6687,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40000,19000,40500,19000"
 | |
| pts [
 | |
| "40000,19000"
 | |
| "40500,19000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 6688,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6689,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "43000,18300,45100,19600"
 | |
| st "SCL"
 | |
| blo "43000,19300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *15 (PortIoOut
 | |
| uid 6690,0
 | |
| shape (CompositeShape
 | |
| uid 6691,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 6692,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40500,20625,42000,21375"
 | |
| )
 | |
| (Line
 | |
| uid 6693,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40000,21000,40500,21000"
 | |
| pts [
 | |
| "40000,21000"
 | |
| "40500,21000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 6694,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6695,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "43000,20300,44400,21600"
 | |
| st "A0"
 | |
| blo "43000,21300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *16 (PortIoOut
 | |
| uid 6696,0
 | |
| shape (CompositeShape
 | |
| uid 6697,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 6698,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40500,24625,42000,25375"
 | |
| )
 | |
| (Line
 | |
| uid 6699,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40000,25000,40500,25000"
 | |
| pts [
 | |
| "40000,25000"
 | |
| "40500,25000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 6700,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6701,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "43000,24300,46500,25600"
 | |
| st "CS1_n"
 | |
| blo "43000,25300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *17 (PortIoOut
 | |
| uid 6734,0
 | |
| shape (CompositeShape
 | |
| uid 6735,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 6736,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40500,22625,42000,23375"
 | |
| )
 | |
| (Line
 | |
| uid 6737,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "40000,23000,40500,23000"
 | |
| pts [
 | |
| "40000,23000"
 | |
| "40500,23000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 6738,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6739,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "43000,22300,46500,23600"
 | |
| st "RST_n"
 | |
| blo "43000,23300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *18 (Net
 | |
| uid 6748,0
 | |
| decl (Decl
 | |
| n "SI"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 121,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 6749,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,57300,-63700,58300"
 | |
| st "SI           : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *19 (Net
 | |
| uid 6750,0
 | |
| decl (Decl
 | |
| n "SCL"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 122,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 6751,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,58300,-63300,59300"
 | |
| st "SCL          : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *20 (Net
 | |
| uid 6752,0
 | |
| decl (Decl
 | |
| n "A0"
 | |
| t "std_ulogic"
 | |
| o 4
 | |
| suid 123,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 6753,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,59300,-63500,60300"
 | |
| st "A0           : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *21 (Net
 | |
| uid 7909,0
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 139,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 7910,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,62300,-63400,63300"
 | |
| st "clock        : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *22 (PortIoIn
 | |
| uid 8031,0
 | |
| shape (CompositeShape
 | |
| uid 8032,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 8033,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-58000,16625,-56500,17375"
 | |
| )
 | |
| (Line
 | |
| uid 8034,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-56500,17000,-56000,17000"
 | |
| pts [
 | |
| "-56500,17000"
 | |
| "-56000,17000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 8035,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 8036,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-62500,16300,-59000,17600"
 | |
| st "ascii"
 | |
| ju 2
 | |
| blo "-59000,17300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *23 (Net
 | |
| uid 8170,0
 | |
| decl (Decl
 | |
| n "ascii"
 | |
| t "std_ulogic_vector"
 | |
| b "(asciiBitNb-1 downto 0)"
 | |
| o 8
 | |
| suid 158,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 8171,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,63300,-50600,64300"
 | |
| st "ascii        : std_ulogic_vector(asciiBitNb-1 downto 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *24 (PortIoOut
 | |
| uid 9321,0
 | |
| shape (CompositeShape
 | |
| uid 9322,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 9323,0
 | |
| sl 0
 | |
| ro 90
 | |
| xt "-58000,20625,-56500,21375"
 | |
| )
 | |
| (Line
 | |
| uid 9324,0
 | |
| sl 0
 | |
| ro 90
 | |
| xt "-56500,21000,-56000,21000"
 | |
| pts [
 | |
| "-56000,21000"
 | |
| "-56500,21000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 9325,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9326,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-61800,20300,-59000,21600"
 | |
| st "busy"
 | |
| ju 2
 | |
| blo "-59000,21300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *25 (PortIoIn
 | |
| uid 9455,0
 | |
| shape (CompositeShape
 | |
| uid 9456,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 9457,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-58000,18625,-56500,19375"
 | |
| )
 | |
| (Line
 | |
| uid 9458,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-56500,19000,-56000,19000"
 | |
| pts [
 | |
| "-56500,19000"
 | |
| "-56000,19000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 9459,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9460,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-61800,18300,-59000,19600"
 | |
| st "send"
 | |
| ju 2
 | |
| blo "-59000,19300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *26 (Net
 | |
| uid 9467,0
 | |
| decl (Decl
 | |
| n "send"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| suid 176,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 9468,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,64300,-63200,65300"
 | |
| st "send         : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *27 (Net
 | |
| uid 9948,0
 | |
| decl (Decl
 | |
| n "CS1_n"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 182,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 9949,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,60300,-62800,61300"
 | |
| st "CS1_n        : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *28 (Net
 | |
| uid 9950,0
 | |
| decl (Decl
 | |
| n "RST_n"
 | |
| t "std_ulogic"
 | |
| o 6
 | |
| suid 183,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 9951,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,61300,-62800,62300"
 | |
| st "RST_n        : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *29 (PortIoIn
 | |
| uid 10651,0
 | |
| shape (CompositeShape
 | |
| uid 10652,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 10653,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-58000,24625,-56500,25375"
 | |
| )
 | |
| (Line
 | |
| uid 10654,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-56500,25000,-56000,25000"
 | |
| pts [
 | |
| "-56500,25000"
 | |
| "-56000,25000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 10655,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 10656,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-62500,24300,-59000,25600"
 | |
| st "clock"
 | |
| ju 2
 | |
| blo "-59000,25300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *30 (PortIoIn
 | |
| uid 10657,0
 | |
| shape (CompositeShape
 | |
| uid 10658,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| uid 10659,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-58000,26625,-56500,27375"
 | |
| )
 | |
| (Line
 | |
| uid 10660,0
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-56500,27000,-56000,27000"
 | |
| pts [
 | |
| "-56500,27000"
 | |
| "-56000,27000"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| uid 10661,0
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 10662,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-62500,26300,-59000,27600"
 | |
| st "reset"
 | |
| ju 2
 | |
| blo "-59000,27300"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| *31 (Net
 | |
| uid 11116,0
 | |
| decl (Decl
 | |
| n "lcdData"
 | |
| t "std_ulogic_vector"
 | |
| b "(9-1 DOWNTO 0)"
 | |
| o 11
 | |
| suid 187,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11117,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,75100,-49300,76100"
 | |
| st "SIGNAL lcdData      : std_ulogic_vector(9-1 DOWNTO 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *32 (Net
 | |
| uid 11118,0
 | |
| decl (Decl
 | |
| n "lcdSend"
 | |
| t "std_ulogic"
 | |
| o 12
 | |
| suid 188,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11119,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,76100,-59700,77100"
 | |
| st "SIGNAL lcdSend      : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *33 (Net
 | |
| uid 11124,0
 | |
| decl (Decl
 | |
| n "lcdBusy"
 | |
| t "std_ulogic"
 | |
| o 13
 | |
| suid 189,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11125,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,77100,-59800,78100"
 | |
| st "SIGNAL lcdBusy      : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *34 (Net
 | |
| uid 11273,0
 | |
| decl (Decl
 | |
| n "lcdData1"
 | |
| t "std_ulogic_vector"
 | |
| b "(lcdDataBitNb-1 downto 0)"
 | |
| o 14
 | |
| suid 190,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11274,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,78100,-45200,79100"
 | |
| st "SIGNAL lcdData1     : std_ulogic_vector(lcdDataBitNb-1 downto 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *35 (Net
 | |
| uid 11279,0
 | |
| decl (Decl
 | |
| n "lcdSend1"
 | |
| t "std_ulogic"
 | |
| o 15
 | |
| suid 191,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11280,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,79100,-59500,80100"
 | |
| st "SIGNAL lcdSend1     : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *36 (Net
 | |
| uid 11285,0
 | |
| decl (Decl
 | |
| n "lcdBusy1"
 | |
| t "std_ulogic"
 | |
| o 16
 | |
| suid 192,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11286,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,80100,-59600,81100"
 | |
| st "SIGNAL lcdBusy1     : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *37 (Net
 | |
| uid 11291,0
 | |
| decl (Decl
 | |
| n "busy"
 | |
| t "std_ulogic"
 | |
| o 10
 | |
| suid 193,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11292,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,65300,-63200,66300"
 | |
| st "busy         : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *38 (Net
 | |
| uid 11446,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "dataInA"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| o 17
 | |
| suid 194,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11447,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,81100,-43000,82100"
 | |
| st "SIGNAL dataInA      : std_ulogic_vector(characterPixelNb-1 DOWNTO 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *39 (Net
 | |
| uid 11454,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "addressB"
 | |
| t "std_ulogic_vector"
 | |
| b "(asciiBitNb-1 DOWNTO 0)"
 | |
| o 18
 | |
| suid 195,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11455,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,82100,-45700,83100"
 | |
| st "SIGNAL addressB     : std_ulogic_vector(asciiBitNb-1 DOWNTO 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *40 (Net
 | |
| uid 11462,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "dataInB"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| o 19
 | |
| suid 196,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11463,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,83100,-43000,84100"
 | |
| st "SIGNAL dataInB      : std_ulogic_vector(characterPixelNb-1 DOWNTO 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *41 (Net
 | |
| uid 11470,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "writeEnB"
 | |
| t "std_ulogic"
 | |
| o 20
 | |
| suid 197,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11471,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,84100,-59600,85100"
 | |
| st "SIGNAL writeEnB     : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *42 (Net
 | |
| uid 11478,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "enB"
 | |
| t "std_ulogic"
 | |
| o 21
 | |
| suid 198,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11479,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,85100,-60100,86100"
 | |
| st "SIGNAL enB          : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *43 (Net
 | |
| uid 11486,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "clockB"
 | |
| t "std_ulogic"
 | |
| o 22
 | |
| suid 199,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11487,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,86100,-60000,87100"
 | |
| st "SIGNAL clockB       : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *44 (Net
 | |
| uid 11494,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "enA"
 | |
| t "std_ulogic"
 | |
| o 23
 | |
| suid 200,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11495,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,87100,-60100,88100"
 | |
| st "SIGNAL enA          : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *45 (Net
 | |
| uid 11502,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "writeEnA"
 | |
| t "std_ulogic"
 | |
| o 24
 | |
| suid 201,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11503,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,88100,-59600,89100"
 | |
| st "SIGNAL writeEnA     : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *46 (Net
 | |
| uid 11665,0
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "pixelData"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| posAdd 0
 | |
| o 25
 | |
| suid 203,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 11666,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,89100,-42900,90100"
 | |
| st "SIGNAL pixelData    : std_ulogic_vector(characterPixelNb-1 DOWNTO 0)
 | |
| "
 | |
| )
 | |
| )
 | |
| *47 (HdlText
 | |
| uid 11667,0
 | |
| optionalChildren [
 | |
| *48 (EmbeddedText
 | |
| uid 11714,0
 | |
| commentText (CommentText
 | |
| uid 11715,0
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| uid 11716,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "16000,38000,32000,54000"
 | |
| )
 | |
| oxt "0,0,18000,5000"
 | |
| text (MLText
 | |
| uid 11717,0
 | |
| va (VaSet
 | |
| font "Courier,9,0"
 | |
| )
 | |
| xt "16200,38200,30600,53800"
 | |
| st "
 | |
| addressB <= (others => '0');
 | |
| dataInA <= (others => '0');
 | |
| dataInB <= (others => '0');
 | |
| enA <= '1';
 | |
| enB <= '0';
 | |
| writeEnA <= '0';
 | |
| writeEnB <= '0';
 | |
| clockB <= '0';
 | |
| 
 | |
| 
 | |
| "
 | |
| tm "HdlTextMgr"
 | |
| wrapOption 3
 | |
| visibleHeight 16000
 | |
| visibleWidth 16000
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 11668,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,37120"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "16000,37000,32000,55000"
 | |
| )
 | |
| oxt "0,0,8000,10000"
 | |
| ttg (MlTextGroup
 | |
| uid 11669,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *49 (Text
 | |
| uid 11670,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "16400,55000,17900,55900"
 | |
| st "eb1"
 | |
| blo "16400,55700"
 | |
| tm "HdlTextNameMgr"
 | |
| )
 | |
| *50 (Text
 | |
| uid 11671,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "16400,56200,16900,57100"
 | |
| st "1"
 | |
| blo "16400,56900"
 | |
| tm "HdlTextNumberMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| uid 11850,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "16250,53250,17750,54750"
 | |
| iconName "TextFile.png"
 | |
| iconMaskName "TextFile.msk"
 | |
| ftype 21
 | |
| )
 | |
| viewiconposition 0
 | |
| )
 | |
| *51 (SaComponent
 | |
| uid 12026,0
 | |
| optionalChildren [
 | |
| *52 (CptPort
 | |
| uid 11978,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11979,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,52625,-16000,53375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 11980,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 11981,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,52500,-11400,53500"
 | |
| st "clockA"
 | |
| blo "-15000,53300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "clockA"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 1,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *53 (CptPort
 | |
| uid 11982,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11983,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,50625,-16000,51375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 11984,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 11985,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,50500,-13200,51500"
 | |
| st "enA"
 | |
| blo "-15000,51300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "enA"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 3,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *54 (CptPort
 | |
| uid 11986,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11987,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,46625,-16000,47375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 11988,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 11989,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,46500,-10200,47500"
 | |
| st "writeEnA"
 | |
| blo "-15000,47300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "writeEnA"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 4,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *55 (CptPort
 | |
| uid 11990,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11991,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,40625,-16000,41375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 11992,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 11993,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,40500,-10200,41500"
 | |
| st "addressA"
 | |
| blo "-15000,41300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "addressA"
 | |
| t "std_ulogic_vector"
 | |
| b "(addressBitNb-1 DOWNTO 0)"
 | |
| o 4
 | |
| suid 5,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *56 (CptPort
 | |
| uid 11994,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11995,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,44625,-16000,45375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 11996,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 11997,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,44500,-10800,45500"
 | |
| st "dataInA"
 | |
| blo "-15000,45300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "dataInA"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| o 5
 | |
| suid 6,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *57 (CptPort
 | |
| uid 11998,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 11999,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,42625,-16000,43375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12000,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12001,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,42500,-10200,43500"
 | |
| st "dataOutA"
 | |
| blo "-15000,43300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| m 1
 | |
| decl (Decl
 | |
| n "dataOutA"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| posAdd 0
 | |
| o 6
 | |
| suid 7,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *58 (CptPort
 | |
| uid 12002,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12003,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,52625,750,53375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12004,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12005,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-4600,52500,-1000,53500"
 | |
| st "clockB"
 | |
| ju 2
 | |
| blo "-1000,53300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "clockB"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 8,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *59 (CptPort
 | |
| uid 12006,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12007,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,50625,750,51375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12008,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12009,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-2800,50500,-1000,51500"
 | |
| st "enB"
 | |
| ju 2
 | |
| blo "-1000,51300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "enB"
 | |
| t "std_ulogic"
 | |
| o 8
 | |
| suid 10,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *60 (CptPort
 | |
| uid 12010,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12011,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,46625,750,47375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12012,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12013,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-5800,46500,-1000,47500"
 | |
| st "writeEnB"
 | |
| ju 2
 | |
| blo "-1000,47300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "writeEnB"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| suid 11,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *61 (CptPort
 | |
| uid 12014,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12015,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,40625,750,41375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12016,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12017,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-5800,40500,-1000,41500"
 | |
| st "addressB"
 | |
| ju 2
 | |
| blo "-1000,41300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "addressB"
 | |
| t "std_ulogic_vector"
 | |
| b "(addressBitNb-1 DOWNTO 0)"
 | |
| o 10
 | |
| suid 12,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *62 (CptPort
 | |
| uid 12018,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12019,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,44625,750,45375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12020,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12021,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-5200,44500,-1000,45500"
 | |
| st "dataInB"
 | |
| ju 2
 | |
| blo "-1000,45300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| decl (Decl
 | |
| n "dataInB"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| o 11
 | |
| suid 13,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *63 (CptPort
 | |
| uid 12022,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12023,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,42625,750,43375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12024,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12025,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-5800,42500,-1000,43500"
 | |
| st "dataOutB"
 | |
| ju 2
 | |
| blo "-1000,43300"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 10
 | |
| m 1
 | |
| decl (Decl
 | |
| n "dataOutB"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| o 12
 | |
| suid 14,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 12027,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-16000,37000,0,55000"
 | |
| )
 | |
| oxt "39000,11000,55000,29000"
 | |
| ttg (MlTextGroup
 | |
| uid 12028,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *64 (Text
 | |
| uid 12029,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-15800,55000,-12800,55900"
 | |
| st "Memory"
 | |
| blo "-15800,55700"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *65 (Text
 | |
| uid 12030,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-15800,56000,-4300,56900"
 | |
| st "bramDualportWritefirst"
 | |
| blo "-15800,56700"
 | |
| tm "CptNameMgr"
 | |
| )
 | |
| *66 (Text
 | |
| uid 12031,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-15800,57000,-13300,57900"
 | |
| st "I_rom"
 | |
| blo "-15800,57700"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| uid 12032,0
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| uid 12033,0
 | |
| text (MLText
 | |
| uid 12034,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-16000,58000,10100,61000"
 | |
| st "addressBitNb = asciiBitNb                       ( positive )  
 | |
| dataBitNb    = characterPixelNb                 ( positive )  
 | |
| initFile     = \"$SIMULATION_DIR/lcdFont.txt\"    ( string   )  "
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "addressBitNb"
 | |
| type "positive"
 | |
| value "asciiBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "characterPixelNb"
 | |
| )
 | |
| (GiElement
 | |
| name "initFile"
 | |
| type "string"
 | |
| value "\"$SIMULATION_DIR/lcdFont.txt\""
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| uid 12035,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "-15750,53250,-14250,54750"
 | |
| iconName "VhdlFileViewIcon.png"
 | |
| iconMaskName "VhdlFileViewIcon.msk"
 | |
| ftype 10
 | |
| )
 | |
| ordering 1
 | |
| viewiconposition 0
 | |
| connectByName 1
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sIVOD 1
 | |
| )
 | |
| archFileType "UNKNOWN"
 | |
| )
 | |
| *67 (SaComponent
 | |
| uid 12076,0
 | |
| optionalChildren [
 | |
| *68 (CptPort
 | |
| uid 12036,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12037,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "32000,20625,32750,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12038,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12039,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "30000,20400,31000,21300"
 | |
| st "A0"
 | |
| ju 2
 | |
| blo "31000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "A0"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 1,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *69 (CptPort
 | |
| uid 12040,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12041,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "15250,24625,16000,25375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12042,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12043,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "17000,24400,19500,25300"
 | |
| st "clock"
 | |
| blo "17000,25100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 3,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *70 (CptPort
 | |
| uid 12044,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12045,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "32000,24625,32750,25375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12046,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12047,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "29000,24400,31000,25300"
 | |
| st "CS_n"
 | |
| ju 2
 | |
| blo "31000,25100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "CS_n"
 | |
| t "std_ulogic"
 | |
| o 6
 | |
| suid 4,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *71 (CptPort
 | |
| uid 12048,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12049,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "15250,26625,16000,27375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12050,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12051,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "17000,26400,19500,27300"
 | |
| st "reset"
 | |
| blo "17000,27100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 7,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *72 (CptPort
 | |
| uid 12052,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12053,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "32000,22625,32750,23375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12054,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12055,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "28500,22400,31000,23300"
 | |
| st "RST_n"
 | |
| ju 2
 | |
| blo "31000,23100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "RST_n"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 8,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *73 (CptPort
 | |
| uid 12056,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12057,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "32000,18625,32750,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12058,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12059,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "29500,18400,31000,19300"
 | |
| st "SCL"
 | |
| ju 2
 | |
| blo "31000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "SCL"
 | |
| t "std_ulogic"
 | |
| o 4
 | |
| suid 9,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *74 (CptPort
 | |
| uid 12060,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12061,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "32000,16625,32750,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12062,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12063,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "30000,16400,31000,17300"
 | |
| st "SI"
 | |
| ju 2
 | |
| blo "31000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "SI"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 10,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *75 (CptPort
 | |
| uid 12064,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12065,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "15250,16625,16000,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12066,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12067,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "17000,16400,19000,17300"
 | |
| st "data"
 | |
| blo "17000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "data"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| o 8
 | |
| suid 11,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *76 (CptPort
 | |
| uid 12068,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12069,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "15250,18625,16000,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12070,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12071,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "17000,18400,19000,19300"
 | |
| st "send"
 | |
| blo "17000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "send"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| suid 17,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *77 (CptPort
 | |
| uid 12072,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12073,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "15250,20625,16000,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12074,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12075,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "17000,20400,19000,21300"
 | |
| st "busy"
 | |
| blo "17000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "busy"
 | |
| t "std_ulogic"
 | |
| o 10
 | |
| suid 19,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 12077,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "16000,13000,32000,29000"
 | |
| )
 | |
| oxt "38000,-2000,54000,14000"
 | |
| ttg (MlTextGroup
 | |
| uid 12078,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *78 (Text
 | |
| uid 12079,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "15950,29000,17450,29900"
 | |
| st "Lcd"
 | |
| blo "15950,29700"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *79 (Text
 | |
| uid 12080,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "15950,30200,22950,31100"
 | |
| st "lcdSerializer"
 | |
| blo "15950,30900"
 | |
| tm "CptNameMgr"
 | |
| )
 | |
| *80 (Text
 | |
| uid 12081,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "15950,31400,18450,32300"
 | |
| st "I_ser"
 | |
| blo "15950,32100"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| uid 12082,0
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| uid 12083,0
 | |
| text (MLText
 | |
| uid 12084,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "16000,33800,38400,35800"
 | |
| st "dataBitNb      = lcdDataBitNb      ( positive )  
 | |
| baudRateDivide = baudRateDivide    ( integer  )  "
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "baudRateDivide"
 | |
| type "integer"
 | |
| value "baudRateDivide"
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| uid 12085,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "16250,27250,17750,28750"
 | |
| iconName "VhdlFileViewIcon.png"
 | |
| iconMaskName "VhdlFileViewIcon.msk"
 | |
| ftype 10
 | |
| )
 | |
| ordering 1
 | |
| viewiconposition 0
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| archFileType "UNKNOWN"
 | |
| )
 | |
| *81 (SaComponent
 | |
| uid 12519,0
 | |
| optionalChildren [
 | |
| *82 (CptPort
 | |
| uid 12483,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12484,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,18625,-16000,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12485,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12486,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,18400,-9500,19300"
 | |
| st "columnSend"
 | |
| blo "-15000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "columnSend"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 2,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *83 (CptPort
 | |
| uid 12487,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12488,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,16625,-16000,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12489,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12490,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,16400,-9500,17300"
 | |
| st "columnData"
 | |
| blo "-15000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "columnData"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 3,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *84 (CptPort
 | |
| uid 12491,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12492,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,16625,750,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12493,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12494,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-4500,16400,-1000,17300"
 | |
| st "lcdData"
 | |
| ju 2
 | |
| blo "-1000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "lcdData"
 | |
| t "std_ulogic_vector"
 | |
| b "(dataBitNb-1 DOWNTO 0)"
 | |
| o 1
 | |
| suid 4,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *85 (CptPort
 | |
| uid 12495,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12496,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,24625,-16000,25375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12497,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12498,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,24400,-12500,25300"
 | |
| st "clock"
 | |
| blo "-15000,25100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 6,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *86 (CptPort
 | |
| uid 12499,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12500,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,18625,750,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12501,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12502,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-4500,18400,-1000,19300"
 | |
| st "lcdSend"
 | |
| ju 2
 | |
| blo "-1000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "lcdSend"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 11,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *87 (CptPort
 | |
| uid 12503,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12504,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,20625,750,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12505,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12506,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-4500,20400,-1000,21300"
 | |
| st "lcdBusy"
 | |
| ju 2
 | |
| blo "-1000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "lcdBusy"
 | |
| t "std_ulogic"
 | |
| o 6
 | |
| suid 12,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *88 (CptPort
 | |
| uid 12507,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12508,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,26625,-16000,27375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12509,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12510,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,26400,-12500,27300"
 | |
| st "reset"
 | |
| blo "-15000,27100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 13,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *89 (CptPort
 | |
| uid 12511,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12512,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,20625,-16000,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12513,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12514,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,20400,-9500,21300"
 | |
| st "columnBusy"
 | |
| blo "-15000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "columnBusy"
 | |
| t "std_ulogic"
 | |
| o 8
 | |
| suid 14,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *90 (CptPort
 | |
| uid 12515,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12516,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-16750,14625,-16000,15375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12517,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12518,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,14400,-8500,15300"
 | |
| st "clearDisplay"
 | |
| blo "-15000,15100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clearDisplay"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| suid 15,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 12520,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-16000,13000,0,29000"
 | |
| )
 | |
| oxt "44000,1000,60000,17000"
 | |
| ttg (MlTextGroup
 | |
| uid 12521,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *91 (Text
 | |
| uid 12522,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-16000,29500,-14500,30400"
 | |
| st "Lcd"
 | |
| blo "-16000,30200"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *92 (Text
 | |
| uid 12523,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-16000,30700,-8500,31600"
 | |
| st "lcdInitializer"
 | |
| blo "-16000,31400"
 | |
| tm "CptNameMgr"
 | |
| )
 | |
| *93 (Text
 | |
| uid 12524,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-16000,31900,-13000,32800"
 | |
| st "I_init"
 | |
| blo "-16000,32600"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| uid 12525,0
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| uid 12526,0
 | |
| text (MLText
 | |
| uid 12527,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-16000,33600,2600,34600"
 | |
| st "dataBitNb = lcdDataBitNb    ( positive )  "
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "dataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| uid 12528,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "-15750,27250,-14250,28750"
 | |
| iconName "VhdlFileViewIcon.png"
 | |
| iconMaskName "VhdlFileViewIcon.msk"
 | |
| ftype 10
 | |
| )
 | |
| ordering 1
 | |
| viewiconposition 0
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| archFileType "UNKNOWN"
 | |
| )
 | |
| *94 (SaComponent
 | |
| uid 12569,0
 | |
| optionalChildren [
 | |
| *95 (CptPort
 | |
| uid 12529,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12530,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-48750,16625,-48000,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12531,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12532,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-47000,16400,-42500,17300"
 | |
| st "asciiData"
 | |
| blo "-47000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "asciiData"
 | |
| t "std_ulogic_vector"
 | |
| b "(asciiBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 1,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *96 (CptPort
 | |
| uid 12533,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12534,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-32000,16625,-31250,17375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12535,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12536,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-36500,16400,-33000,17300"
 | |
| st "lcdData"
 | |
| ju 2
 | |
| blo "-33000,17100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "lcdData"
 | |
| t "std_ulogic_vector"
 | |
| b "(lcdDataBitNb-1 downto 0)"
 | |
| o 3
 | |
| suid 2,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *97 (CptPort
 | |
| uid 12537,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12538,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-48750,24625,-48000,25375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12539,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12540,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-47000,24400,-44500,25300"
 | |
| st "clock"
 | |
| blo "-47000,25100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 7,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *98 (CptPort
 | |
| uid 12541,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12542,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-48750,26625,-48000,27375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12543,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12544,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-47000,26400,-44500,27300"
 | |
| st "reset"
 | |
| blo "-47000,27100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 8,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *99 (CptPort
 | |
| uid 12545,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12546,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-32000,20625,-31250,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12547,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12548,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-36500,20400,-33000,21300"
 | |
| st "lcdBusy"
 | |
| ju 2
 | |
| blo "-33000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "lcdBusy"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 12,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *100 (CptPort
 | |
| uid 12549,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12550,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-48750,20625,-48000,21375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12551,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12552,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-47000,20400,-42500,21300"
 | |
| st "asciiBusy"
 | |
| blo "-47000,21100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "asciiBusy"
 | |
| t "std_ulogic"
 | |
| o 6
 | |
| suid 20,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *101 (CptPort
 | |
| uid 12553,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12554,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-48750,18625,-48000,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12555,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12556,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-47000,18400,-42500,19300"
 | |
| st "asciiSend"
 | |
| blo "-47000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "asciiSend"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 22,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *102 (CptPort
 | |
| uid 12557,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12558,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-32000,18625,-31250,19375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12559,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12560,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-36500,18400,-33000,19300"
 | |
| st "lcdSend"
 | |
| ju 2
 | |
| blo "-33000,19100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "lcdSend"
 | |
| t "std_ulogic"
 | |
| o 8
 | |
| suid 26,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *103 (CptPort
 | |
| uid 12561,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12562,0
 | |
| ro 270
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-32000,23625,-31250,24375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12563,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12564,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-37500,23400,-33000,24300"
 | |
| st "pixelData"
 | |
| ju 2
 | |
| blo "-33000,24100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "pixelData"
 | |
| t "std_ulogic_vector"
 | |
| b "(fontColumnNb*fontRowNb-1 downto 0)"
 | |
| o 9
 | |
| suid 28,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *104 (CptPort
 | |
| uid 12565,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 12566,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "-32000,14625,-31250,15375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 12567,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 12568,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-39500,14400,-33000,15300"
 | |
| st "clearDisplay"
 | |
| ju 2
 | |
| blo "-33000,15100"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "clearDisplay"
 | |
| t "std_ulogic"
 | |
| o 10
 | |
| suid 29,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 12570,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-48000,13000,-32000,29000"
 | |
| )
 | |
| oxt "40000,-8000,56000,8000"
 | |
| ttg (MlTextGroup
 | |
| uid 12571,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *105 (Text
 | |
| uid 12572,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-47250,29000,-45750,29900"
 | |
| st "Lcd"
 | |
| blo "-47250,29700"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *106 (Text
 | |
| uid 12573,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-47250,30200,-37250,31100"
 | |
| st "lcdCharacterEncoder"
 | |
| blo "-47250,30900"
 | |
| tm "CptNameMgr"
 | |
| )
 | |
| *107 (Text
 | |
| uid 12574,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-47250,31400,-44250,32300"
 | |
| st "I_font"
 | |
| blo "-47250,32100"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| uid 12575,0
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| uid 12576,0
 | |
| text (MLText
 | |
| uid 12577,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-48000,33000,-26800,39000"
 | |
| st "asciiBitNb   = asciiBitNb      ( positive )  
 | |
| lcdDataBitNb = lcdDataBitNb    ( positive )  
 | |
| fontColumnNb = fontColumnNb    ( positive )  
 | |
| fontRowNb    = fontRowNb       ( positive )  
 | |
| lcdPageNb    = lcdPageNb       ( positive )  
 | |
| lcdColumnNb  = lcdColumnNb     ( positive )  "
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "asciiBitNb"
 | |
| type "positive"
 | |
| value "asciiBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdDataBitNb"
 | |
| type "positive"
 | |
| value "lcdDataBitNb"
 | |
| )
 | |
| (GiElement
 | |
| name "fontColumnNb"
 | |
| type "positive"
 | |
| value "fontColumnNb"
 | |
| )
 | |
| (GiElement
 | |
| name "fontRowNb"
 | |
| type "positive"
 | |
| value "fontRowNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdPageNb"
 | |
| type "positive"
 | |
| value "lcdPageNb"
 | |
| )
 | |
| (GiElement
 | |
| name "lcdColumnNb"
 | |
| type "positive"
 | |
| value "lcdColumnNb"
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| uid 12578,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "-47750,27250,-46250,28750"
 | |
| iconName "VhdlFileViewIcon.png"
 | |
| iconMaskName "VhdlFileViewIcon.msk"
 | |
| ftype 10
 | |
| )
 | |
| ordering 1
 | |
| viewiconposition 0
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| archFileType "UNKNOWN"
 | |
| )
 | |
| *108 (Net
 | |
| uid 12579,0
 | |
| decl (Decl
 | |
| n "clearDisplay"
 | |
| t "std_ulogic"
 | |
| o 26
 | |
| suid 204,0
 | |
| )
 | |
| declText (MLText
 | |
| uid 12580,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,90100,-59500,91100"
 | |
| st "SIGNAL clearDisplay : std_ulogic
 | |
| "
 | |
| )
 | |
| )
 | |
| *109 (Wire
 | |
| uid 6704,0
 | |
| shape (OrthoPolyLine
 | |
| uid 6705,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "32750,17000,40000,17000"
 | |
| pts [
 | |
| "32750,17000"
 | |
| "40000,17000"
 | |
| ]
 | |
| )
 | |
| start &74
 | |
| end &13
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 6708,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6709,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "38000,15600,39400,16900"
 | |
| st "SI"
 | |
| blo "38000,16600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &18
 | |
| )
 | |
| *110 (Wire
 | |
| uid 6712,0
 | |
| shape (OrthoPolyLine
 | |
| uid 6713,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "32750,19000,40000,19000"
 | |
| pts [
 | |
| "32750,19000"
 | |
| "40000,19000"
 | |
| ]
 | |
| )
 | |
| start &73
 | |
| end &14
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 6716,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6717,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "37000,17600,39100,18900"
 | |
| st "SCL"
 | |
| blo "37000,18600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &19
 | |
| )
 | |
| *111 (Wire
 | |
| uid 6720,0
 | |
| shape (OrthoPolyLine
 | |
| uid 6721,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "32750,21000,40000,21000"
 | |
| pts [
 | |
| "32750,21000"
 | |
| "40000,21000"
 | |
| ]
 | |
| )
 | |
| start &68
 | |
| end &15
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 6724,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6725,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "38000,19600,39400,20900"
 | |
| st "A0"
 | |
| blo "38000,20600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &20
 | |
| )
 | |
| *112 (Wire
 | |
| uid 6728,0
 | |
| shape (OrthoPolyLine
 | |
| uid 6729,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "32750,25000,40000,25000"
 | |
| pts [
 | |
| "32750,25000"
 | |
| "40000,25000"
 | |
| ]
 | |
| )
 | |
| start &70
 | |
| end &16
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 6732,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6733,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "36000,23600,39500,24900"
 | |
| st "CS1_n"
 | |
| blo "36000,24600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &27
 | |
| )
 | |
| *113 (Wire
 | |
| uid 6742,0
 | |
| shape (OrthoPolyLine
 | |
| uid 6743,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "32750,23000,40000,23000"
 | |
| pts [
 | |
| "32750,23000"
 | |
| "40000,23000"
 | |
| ]
 | |
| )
 | |
| start &72
 | |
| end &17
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 6746,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 6747,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "36000,21600,39500,22900"
 | |
| st "RST_n"
 | |
| blo "36000,22600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &28
 | |
| )
 | |
| *114 (Wire
 | |
| uid 7967,0
 | |
| shape (OrthoPolyLine
 | |
| uid 7968,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "750,17000,15250,17000"
 | |
| pts [
 | |
| "750,17000"
 | |
| "15250,17000"
 | |
| ]
 | |
| )
 | |
| start &84
 | |
| end &75
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 7971,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 7972,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "3000,15600,7900,16900"
 | |
| st "lcdData"
 | |
| blo "3000,16600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &31
 | |
| )
 | |
| *115 (Wire
 | |
| uid 8051,0
 | |
| optionalChildren [
 | |
| *116 (BdJunction
 | |
| uid 11663,0
 | |
| ps "OnConnectorStrategy"
 | |
| shape (Circle
 | |
| uid 11664,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| )
 | |
| xt "-50391,16600,-49591,17400"
 | |
| radius 400
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (OrthoPolyLine
 | |
| uid 8052,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-56000,17000,-48750,17000"
 | |
| pts [
 | |
| "-56000,17000"
 | |
| "-48750,17000"
 | |
| ]
 | |
| )
 | |
| start &22
 | |
| end &95
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 8055,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 8056,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-56000,15600,-52500,16900"
 | |
| st "ascii"
 | |
| blo "-56000,16600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &23
 | |
| )
 | |
| *117 (Wire
 | |
| uid 9469,0
 | |
| optionalChildren [
 | |
| *118 (BdJunction
 | |
| uid 12304,0
 | |
| ps "OnConnectorStrategy"
 | |
| shape (Circle
 | |
| uid 12305,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| )
 | |
| xt "-52399,18600,-51599,19400"
 | |
| radius 400
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (OrthoPolyLine
 | |
| uid 9470,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-56000,19000,-48750,19000"
 | |
| pts [
 | |
| "-56000,19000"
 | |
| "-48750,19000"
 | |
| ]
 | |
| )
 | |
| start &25
 | |
| end &101
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 9471,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9472,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-56000,17600,-53200,18900"
 | |
| st "send"
 | |
| blo "-56000,18600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &26
 | |
| )
 | |
| *119 (Wire
 | |
| uid 9608,0
 | |
| shape (OrthoPolyLine
 | |
| uid 9609,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-56000,21000,-48750,21000"
 | |
| pts [
 | |
| "-48750,21000"
 | |
| "-56000,21000"
 | |
| ]
 | |
| )
 | |
| start &100
 | |
| end &24
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 9610,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9611,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-56000,19600,-53200,20900"
 | |
| st "busy"
 | |
| blo "-56000,20600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &37
 | |
| )
 | |
| *120 (Wire
 | |
| uid 9879,0
 | |
| shape (OrthoPolyLine
 | |
| uid 9880,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "12000,25000,15250,25000"
 | |
| pts [
 | |
| "12000,25000"
 | |
| "15250,25000"
 | |
| ]
 | |
| )
 | |
| end &69
 | |
| sat 16
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 9885,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9886,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "11000,23600,14500,24900"
 | |
| st "clock"
 | |
| blo "11000,24600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &21
 | |
| )
 | |
| *121 (Wire
 | |
| uid 9887,0
 | |
| shape (OrthoPolyLine
 | |
| uid 9888,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "12000,27000,15250,27000"
 | |
| pts [
 | |
| "12000,27000"
 | |
| "15250,27000"
 | |
| ]
 | |
| )
 | |
| end &71
 | |
| sat 16
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 9893,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 9894,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "11000,25600,14500,26900"
 | |
| st "reset"
 | |
| blo "11000,26600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &12
 | |
| )
 | |
| *122 (Wire
 | |
| uid 10663,0
 | |
| shape (OrthoPolyLine
 | |
| uid 10664,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-56000,27000,-48750,27000"
 | |
| pts [
 | |
| "-56000,27000"
 | |
| "-48750,27000"
 | |
| ]
 | |
| )
 | |
| start &30
 | |
| end &98
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 10667,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 10668,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-56000,25600,-52500,26900"
 | |
| st "reset"
 | |
| blo "-56000,26600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &12
 | |
| )
 | |
| *123 (Wire
 | |
| uid 10669,0
 | |
| shape (OrthoPolyLine
 | |
| uid 10670,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-56000,25000,-48750,25000"
 | |
| pts [
 | |
| "-56000,25000"
 | |
| "-48750,25000"
 | |
| ]
 | |
| )
 | |
| start &29
 | |
| end &97
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 10673,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 10674,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-56000,23600,-52500,24900"
 | |
| st "clock"
 | |
| blo "-56000,24600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &21
 | |
| )
 | |
| *124 (Wire
 | |
| uid 11120,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11121,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "750,19000,15250,19000"
 | |
| pts [
 | |
| "750,19000"
 | |
| "15250,19000"
 | |
| ]
 | |
| )
 | |
| start &86
 | |
| end &76
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11122,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11123,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2750,17600,7650,18900"
 | |
| st "lcdSend"
 | |
| blo "2750,18600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &32
 | |
| )
 | |
| *125 (Wire
 | |
| uid 11126,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11127,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "750,21000,15250,21000"
 | |
| pts [
 | |
| "750,21000"
 | |
| "15250,21000"
 | |
| ]
 | |
| )
 | |
| start &87
 | |
| end &77
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11128,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11129,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2750,19600,7650,20900"
 | |
| st "lcdBusy"
 | |
| blo "2750,20600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &33
 | |
| )
 | |
| *126 (Wire
 | |
| uid 11130,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11131,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-20000,25000,-16750,25000"
 | |
| pts [
 | |
| "-20000,25000"
 | |
| "-16750,25000"
 | |
| ]
 | |
| )
 | |
| end &85
 | |
| sat 16
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11136,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11137,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-21000,23600,-17500,24900"
 | |
| st "clock"
 | |
| blo "-21000,24600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &21
 | |
| )
 | |
| *127 (Wire
 | |
| uid 11138,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11139,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-20000,27000,-16750,27000"
 | |
| pts [
 | |
| "-20000,27000"
 | |
| "-16750,27000"
 | |
| ]
 | |
| )
 | |
| end &88
 | |
| sat 16
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11144,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11145,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-21000,25600,-17500,26900"
 | |
| st "reset"
 | |
| blo "-21000,26600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &12
 | |
| )
 | |
| *128 (Wire
 | |
| uid 11275,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11276,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-31250,17000,-16750,17000"
 | |
| pts [
 | |
| "-31250,17000"
 | |
| "-16750,17000"
 | |
| ]
 | |
| )
 | |
| start &96
 | |
| end &83
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11277,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11278,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-29000,15600,-23400,16900"
 | |
| st "lcdData1"
 | |
| blo "-29000,16600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &34
 | |
| )
 | |
| *129 (Wire
 | |
| uid 11281,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11282,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-31250,19000,-16750,19000"
 | |
| pts [
 | |
| "-31250,19000"
 | |
| "-16750,19000"
 | |
| ]
 | |
| )
 | |
| start &102
 | |
| end &82
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11283,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11284,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-29250,17600,-23650,18900"
 | |
| st "lcdSend1"
 | |
| blo "-29250,18600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &35
 | |
| )
 | |
| *130 (Wire
 | |
| uid 11287,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11288,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-31250,21000,-16750,21000"
 | |
| pts [
 | |
| "-31250,21000"
 | |
| "-16750,21000"
 | |
| ]
 | |
| )
 | |
| start &99
 | |
| end &89
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11289,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11290,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-29250,19600,-23650,20900"
 | |
| st "lcdBusy1"
 | |
| blo "-29250,20600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &36
 | |
| )
 | |
| *131 (Wire
 | |
| uid 11448,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11449,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-20000,45000,-16750,45000"
 | |
| pts [
 | |
| "-16750,45000"
 | |
| "-20000,45000"
 | |
| ]
 | |
| )
 | |
| start &56
 | |
| sat 32
 | |
| eat 16
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11452,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11453,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-23750,43600,-17950,45000"
 | |
| st "dataInA"
 | |
| blo "-23750,44800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &38
 | |
| )
 | |
| *132 (Wire
 | |
| uid 11456,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11457,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "750,41000,16000,41000"
 | |
| pts [
 | |
| "750,41000"
 | |
| "16000,41000"
 | |
| ]
 | |
| )
 | |
| start &61
 | |
| end &47
 | |
| sat 32
 | |
| eat 2
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11460,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11461,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2000,39600,8700,41000"
 | |
| st "addressB"
 | |
| blo "2000,40800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &39
 | |
| )
 | |
| *133 (Wire
 | |
| uid 11464,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11465,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "750,45000,16000,45000"
 | |
| pts [
 | |
| "750,45000"
 | |
| "16000,45000"
 | |
| ]
 | |
| )
 | |
| start &62
 | |
| end &47
 | |
| sat 32
 | |
| eat 2
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11468,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11469,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2000,43600,7800,45000"
 | |
| st "dataInB"
 | |
| blo "2000,44800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &40
 | |
| )
 | |
| *134 (Wire
 | |
| uid 11472,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11473,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "750,47000,16000,47000"
 | |
| pts [
 | |
| "750,47000"
 | |
| "16000,47000"
 | |
| ]
 | |
| )
 | |
| start &60
 | |
| end &47
 | |
| sat 32
 | |
| eat 2
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11476,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11477,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2000,45600,8400,47000"
 | |
| st "writeEnB"
 | |
| blo "2000,46800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &41
 | |
| )
 | |
| *135 (Wire
 | |
| uid 11480,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11481,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "750,51000,16000,51000"
 | |
| pts [
 | |
| "750,51000"
 | |
| "16000,51000"
 | |
| ]
 | |
| )
 | |
| start &59
 | |
| end &47
 | |
| sat 32
 | |
| eat 2
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11484,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11485,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2000,49600,5200,51000"
 | |
| st "enB"
 | |
| blo "2000,50800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &42
 | |
| )
 | |
| *136 (Wire
 | |
| uid 11488,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11489,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "750,53000,16000,53000"
 | |
| pts [
 | |
| "750,53000"
 | |
| "16000,53000"
 | |
| ]
 | |
| )
 | |
| start &58
 | |
| end &47
 | |
| sat 32
 | |
| eat 2
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11492,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11493,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "2000,51600,6600,53000"
 | |
| st "clockB"
 | |
| blo "2000,52800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &43
 | |
| )
 | |
| *137 (Wire
 | |
| uid 11504,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11505,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-20000,47000,-16750,47000"
 | |
| pts [
 | |
| "-16750,47000"
 | |
| "-20000,47000"
 | |
| ]
 | |
| )
 | |
| start &54
 | |
| sat 32
 | |
| eat 16
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11508,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11509,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-23750,45600,-17350,47000"
 | |
| st "writeEnA"
 | |
| blo "-23750,46800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &45
 | |
| )
 | |
| *138 (Wire
 | |
| uid 11510,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11511,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-20000,53000,-16750,53000"
 | |
| pts [
 | |
| "-20000,53000"
 | |
| "-16750,53000"
 | |
| ]
 | |
| )
 | |
| end &52
 | |
| sat 16
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11516,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11517,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-21000,51600,-17500,52900"
 | |
| st "clock"
 | |
| blo "-21000,52600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &21
 | |
| )
 | |
| *139 (Wire
 | |
| uid 11655,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11656,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-31250,24000,-16750,43000"
 | |
| pts [
 | |
| "-16750,43000"
 | |
| "-24000,43000"
 | |
| "-24000,24000"
 | |
| "-31250,24000"
 | |
| ]
 | |
| )
 | |
| start &57
 | |
| end &103
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11657,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11658,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-24750,41600,-18050,43000"
 | |
| st "pixelData"
 | |
| blo "-24750,42800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &46
 | |
| )
 | |
| *140 (Wire
 | |
| uid 11659,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11660,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-49991,17000,-16750,41000"
 | |
| pts [
 | |
| "-49991,17000"
 | |
| "-49991,41000"
 | |
| "-16750,41000"
 | |
| ]
 | |
| )
 | |
| start &116
 | |
| end &55
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11661,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11662,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-21750,39600,-18250,40900"
 | |
| st "ascii"
 | |
| blo "-21750,40600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &23
 | |
| )
 | |
| *141 (Wire
 | |
| uid 11672,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11673,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "12000,50000,16000,50000"
 | |
| pts [
 | |
| "16000,50000"
 | |
| "12000,50000"
 | |
| ]
 | |
| )
 | |
| start &47
 | |
| sat 2
 | |
| eat 16
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11678,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11679,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "11250,48600,14450,50000"
 | |
| st "enA"
 | |
| blo "11250,49800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &44
 | |
| )
 | |
| *142 (Wire
 | |
| uid 11680,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11681,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "12000,49000,16000,49000"
 | |
| pts [
 | |
| "16000,49000"
 | |
| "12000,49000"
 | |
| ]
 | |
| )
 | |
| start &47
 | |
| sat 2
 | |
| eat 16
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11686,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11687,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "8250,47600,14650,49000"
 | |
| st "writeEnA"
 | |
| blo "8250,48800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &45
 | |
| )
 | |
| *143 (Wire
 | |
| uid 11688,0
 | |
| shape (OrthoPolyLine
 | |
| uid 11689,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| xt "12000,43000,16000,43000"
 | |
| pts [
 | |
| "16000,43000"
 | |
| "12000,43000"
 | |
| ]
 | |
| )
 | |
| start &47
 | |
| sat 2
 | |
| eat 16
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 11694,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 11695,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "8250,41600,14050,43000"
 | |
| st "dataInA"
 | |
| blo "8250,42800"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &38
 | |
| )
 | |
| *144 (Wire
 | |
| uid 12300,0
 | |
| shape (OrthoPolyLine
 | |
| uid 12301,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-51999,19000,-16750,51000"
 | |
| pts [
 | |
| "-51999,19000"
 | |
| "-51999,51000"
 | |
| "-16750,51000"
 | |
| ]
 | |
| )
 | |
| start &118
 | |
| end &53
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 12302,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 12303,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-21750,49600,-18950,50900"
 | |
| st "send"
 | |
| blo "-21750,50600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &26
 | |
| )
 | |
| *145 (Wire
 | |
| uid 12581,0
 | |
| shape (OrthoPolyLine
 | |
| uid 12582,0
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| xt "-31250,15000,-16750,15000"
 | |
| pts [
 | |
| "-31250,15000"
 | |
| "-16750,15000"
 | |
| ]
 | |
| )
 | |
| start &104
 | |
| end &90
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| si 0
 | |
| tg (WTG
 | |
| uid 12583,0
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| uid 12584,0
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-29250,13600,-20150,14900"
 | |
| st "clearDisplay"
 | |
| blo "-29250,14600"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| on &108
 | |
| )
 | |
| ]
 | |
| bg "65535,65535,65535"
 | |
| grid (Grid
 | |
| origin "0,0"
 | |
| isVisible 1
 | |
| isActive 1
 | |
| xSpacing 1000
 | |
| xySpacing 1000
 | |
| xShown 1
 | |
| yShown 1
 | |
| color "26368,26368,26368"
 | |
| )
 | |
| packageList *146 (PackageList
 | |
| uid 42,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *147 (Text
 | |
| uid 43,0
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-76000,100,-69500,1000"
 | |
| st "Package List"
 | |
| blo "-76000,800"
 | |
| )
 | |
| *148 (MLText
 | |
| uid 44,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-76000,1300,-58500,4900"
 | |
| st "LIBRARY ieee;
 | |
|   USE ieee.std_logic_1164.all;
 | |
|   USE ieee.numeric_std.ALL;"
 | |
| tm "PackageList"
 | |
| )
 | |
| ]
 | |
| )
 | |
| compDirBlock (MlTextGroup
 | |
| uid 45,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *149 (Text
 | |
| uid 46,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "20000,0,30000,900"
 | |
| st "Compiler Directives"
 | |
| blo "20000,700"
 | |
| )
 | |
| *150 (Text
 | |
| uid 47,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "20000,1000,31500,1900"
 | |
| st "Pre-module directives:"
 | |
| blo "20000,1700"
 | |
| )
 | |
| *151 (MLText
 | |
| uid 48,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,2000,32100,4400"
 | |
| st "`resetall
 | |
| `timescale 1ns/10ps"
 | |
| tm "BdCompilerDirectivesTextMgr"
 | |
| )
 | |
| *152 (Text
 | |
| uid 49,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "20000,4000,32000,4900"
 | |
| st "Post-module directives:"
 | |
| blo "20000,4700"
 | |
| )
 | |
| *153 (MLText
 | |
| uid 50,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,0,20000,0"
 | |
| tm "BdCompilerDirectivesTextMgr"
 | |
| )
 | |
| *154 (Text
 | |
| uid 51,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "20000,5000,31500,5900"
 | |
| st "End-module directives:"
 | |
| blo "20000,5700"
 | |
| )
 | |
| *155 (MLText
 | |
| uid 52,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "20000,6000,20000,6000"
 | |
| tm "BdCompilerDirectivesTextMgr"
 | |
| )
 | |
| ]
 | |
| associable 1
 | |
| )
 | |
| windowSize "208,38,1383,976"
 | |
| viewArea "-77939,-1818,60767,94402"
 | |
| cachedDiagramExtent "-76000,0,58000,90000"
 | |
| pageSetupInfo (PageSetupInfo
 | |
| ptrCmd ""
 | |
| toPrinter 1
 | |
| xMargin 48
 | |
| yMargin 48
 | |
| paperWidth 761
 | |
| paperHeight 1077
 | |
| unixPaperWidth 595
 | |
| unixPaperHeight 842
 | |
| windowsPaperWidth 761
 | |
| windowsPaperHeight 1077
 | |
| paperType "A4"
 | |
| unixPaperName "A4  (210mm x 297mm)"
 | |
| windowsPaperName "A4"
 | |
| windowsPaperType 9
 | |
| scale 55
 | |
| exportedDirectories [
 | |
| "$HDS_PROJECT_DIR/HTMLExport"
 | |
| ]
 | |
| boundaryWidth 0
 | |
| )
 | |
| hasePageBreakOrigin 1
 | |
| pageBreakOrigin "-76000,0"
 | |
| lastUid 12996,0
 | |
| defaultCommentText (CommentText
 | |
| shape (Rectangle
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,15000,5000"
 | |
| )
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| )
 | |
| xt "200,200,3200,1400"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4600
 | |
| visibleWidth 14600
 | |
| )
 | |
| )
 | |
| defaultRequirementText (RequirementText
 | |
| shape (ZoomableIcon
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,1500,1750"
 | |
| iconName "reqTracerRequirement.bmp"
 | |
| iconMaskName "reqTracerRequirement.msk"
 | |
| )
 | |
| autoResize 1
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "450,2150,1450,3150"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "RequirementText"
 | |
| wrapOption 3
 | |
| visibleHeight 1350
 | |
| visibleWidth 1100
 | |
| )
 | |
| )
 | |
| defaultPanel (Panel
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "32768,0,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "700,1000,4700,2200"
 | |
| st "Panel0"
 | |
| blo "700,2000"
 | |
| tm "PanelText"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultBlk (Blk
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "39936,56832,65280"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,8000,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *156 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "2450,3500,7850,4700"
 | |
| st "<library>"
 | |
| blo "2450,4500"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *157 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "2450,4700,7250,5900"
 | |
| st "<block>"
 | |
| blo "2450,5700"
 | |
| tm "BlkNameMgr"
 | |
| )
 | |
| *158 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "2450,5900,4750,7100"
 | |
| st "I_0"
 | |
| blo "2450,6900"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "2450,13500,2450,13500"
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "250,8250,1750,9750"
 | |
| iconName "UnknownFile.png"
 | |
| iconMaskName "UnknownFile.msk"
 | |
| )
 | |
| viewiconposition 0
 | |
| )
 | |
| defaultMWComponent (MWC
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,0,8000,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *159 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1000,3500,6700,4900"
 | |
| st "Library"
 | |
| blo "1000,4700"
 | |
| )
 | |
| *160 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1000,4900,12700,6300"
 | |
| st "MWComponent"
 | |
| blo "1000,6100"
 | |
| )
 | |
| *161 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1000,6300,4300,7700"
 | |
| st "I_0"
 | |
| blo "1000,7500"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "-6000,1500,-6000,1500"
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| prms (Property
 | |
| pclass "params"
 | |
| pname "params"
 | |
| ptn "String"
 | |
| )
 | |
| visOptions (mwParamsVisibilityOptions
 | |
| )
 | |
| )
 | |
| defaultSaComponent (SaComponent
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,8000,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *162 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1250,3500,6950,4900"
 | |
| st "Library"
 | |
| blo "1250,4700"
 | |
| tm "BdLibraryNameMgr"
 | |
| )
 | |
| *163 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1250,4900,12150,6300"
 | |
| st "SaComponent"
 | |
| blo "1250,6100"
 | |
| tm "CptNameMgr"
 | |
| )
 | |
| *164 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "1250,6300,4550,7700"
 | |
| st "I_0"
 | |
| blo "1250,7500"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "-5750,1500,-5750,1500"
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "250,8250,1750,9750"
 | |
| iconName "UnknownFile.png"
 | |
| iconMaskName "UnknownFile.msk"
 | |
| )
 | |
| viewiconposition 0
 | |
| archFileType "UNKNOWN"
 | |
| )
 | |
| defaultVhdlComponent (VhdlComponent
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,8000,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *165 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "950,3500,6650,4900"
 | |
| st "Library"
 | |
| blo "950,4700"
 | |
| )
 | |
| *166 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "950,4900,13050,6300"
 | |
| st "VhdlComponent"
 | |
| blo "950,6100"
 | |
| )
 | |
| *167 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "950,6300,4250,7700"
 | |
| st "I_0"
 | |
| blo "950,7500"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "-6050,1500,-6050,1500"
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| entityPath ""
 | |
| archName ""
 | |
| archPath ""
 | |
| )
 | |
| defaultVerilogComponent (VerilogComponent
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "-50,0,8050,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *168 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "450,3500,6150,4900"
 | |
| st "Library"
 | |
| blo "450,4700"
 | |
| )
 | |
| *169 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "450,4900,14350,6300"
 | |
| st "VerilogComponent"
 | |
| blo "450,6100"
 | |
| )
 | |
| *170 (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,1"
 | |
| )
 | |
| xt "450,6300,3750,7700"
 | |
| st "I_0"
 | |
| blo "450,7500"
 | |
| tm "InstanceNameMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| ga (GenericAssociation
 | |
| ps "EdgeToEdgeStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| )
 | |
| xt "-6550,1500,-6550,1500"
 | |
| )
 | |
| header ""
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| entityPath ""
 | |
| )
 | |
| defaultHdlText (HdlText
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,37120"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,8000,10000"
 | |
| )
 | |
| ttg (MlTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *171 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "3400,4000,5800,5200"
 | |
| st "eb1"
 | |
| blo "3400,5000"
 | |
| tm "HdlTextNameMgr"
 | |
| )
 | |
| *172 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "3400,5200,4600,6400"
 | |
| st "1"
 | |
| blo "3400,6200"
 | |
| tm "HdlTextNumberMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| viewicon (ZoomableIcon
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "49152,49152,49152"
 | |
| )
 | |
| xt "250,8250,1750,9750"
 | |
| iconName "UnknownFile.png"
 | |
| iconMaskName "UnknownFile.msk"
 | |
| )
 | |
| viewiconposition 0
 | |
| )
 | |
| defaultEmbeddedText (EmbeddedText
 | |
| commentText (CommentText
 | |
| ps "CenterOffsetStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,18000,5000"
 | |
| )
 | |
| text (MLText
 | |
| va (VaSet
 | |
| font "Courier,9,0"
 | |
| )
 | |
| xt "200,200,4200,1500"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "HdlTextMgr"
 | |
| wrapOption 3
 | |
| visibleHeight 4600
 | |
| visibleWidth 17600
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultGlobalConnector (GlobalConnector
 | |
| shape (Circle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,0"
 | |
| )
 | |
| xt "-1000,-1000,1000,1000"
 | |
| radius 1000
 | |
| )
 | |
| name (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "-650,-600,650,600"
 | |
| st "G"
 | |
| blo "-650,400"
 | |
| )
 | |
| )
 | |
| defaultRipper (Ripper
 | |
| ps "OnConnectorStrategy"
 | |
| shape (Line2D
 | |
| pts [
 | |
| "0,0"
 | |
| "1000,1000"
 | |
| ]
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| )
 | |
| xt "0,0,1000,1000"
 | |
| )
 | |
| )
 | |
| defaultBdJunction (BdJunction
 | |
| ps "OnConnectorStrategy"
 | |
| shape (Circle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| )
 | |
| xt "-400,-400,400,400"
 | |
| radius 400
 | |
| )
 | |
| )
 | |
| defaultPortIoIn (PortIoIn
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-2000,-375,-500,375"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "-500,0,0,0"
 | |
| pts [
 | |
| "-500,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "-1375,-1000,-1375,-1000"
 | |
| ju 2
 | |
| blo "-1375,-1000"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultPortIoOut (PortIoOut
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Pentagon
 | |
| sl 0
 | |
| ro 270
 | |
| xt "500,-375,2000,375"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| ro 270
 | |
| xt "0,0,500,0"
 | |
| pts [
 | |
| "0,0"
 | |
| "500,0"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "625,-1000,625,-1000"
 | |
| blo "625,-1000"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultPortIoInOut (PortIoInOut
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Hexagon
 | |
| sl 0
 | |
| xt "500,-375,2000,375"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| xt "0,0,500,0"
 | |
| pts [
 | |
| "0,0"
 | |
| "500,0"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "0,-375,0,-375"
 | |
| blo "0,-375"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultPortIoBuffer (PortIoBuffer
 | |
| shape (CompositeShape
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| optionalChildren [
 | |
| (Hexagon
 | |
| sl 0
 | |
| xt "500,-375,2000,375"
 | |
| )
 | |
| (Line
 | |
| sl 0
 | |
| xt "0,0,500,0"
 | |
| pts [
 | |
| "0,0"
 | |
| "500,0"
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| stc 0
 | |
| sf 1
 | |
| tg (WTG
 | |
| ps "PortIoTextPlaceStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "0,-375,0,-375"
 | |
| blo "0,-375"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultSignal (Wire
 | |
| shape (OrthoPolyLine
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| ss 0
 | |
| es 0
 | |
| sat 32
 | |
| eat 32
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "0,0,3400,1400"
 | |
| st "sig0"
 | |
| blo "0,1200"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultBus (Wire
 | |
| shape (OrthoPolyLine
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineWidth 2
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| ss 0
 | |
| es 0
 | |
| sat 32
 | |
| eat 32
 | |
| sty 1
 | |
| stc 0
 | |
| st 0
 | |
| sf 1
 | |
| si 0
 | |
| tg (WTG
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "STSignalDisplayStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "Verdana,12,0"
 | |
| )
 | |
| xt "0,0,4700,1400"
 | |
| st "dbus0"
 | |
| blo "0,1200"
 | |
| tm "WireNameMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultBundle (Bundle
 | |
| shape (OrthoPolyLine
 | |
| va (VaSet
 | |
| vasetType 3
 | |
| lineColor "32768,0,0"
 | |
| lineWidth 2
 | |
| )
 | |
| pts [
 | |
| "0,0"
 | |
| "0,0"
 | |
| ]
 | |
| )
 | |
| ss 0
 | |
| es 0
 | |
| sat 32
 | |
| eat 32
 | |
| textGroup (BiTextGroup
 | |
| ps "ConnStartEndStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,-200,4700,1000"
 | |
| st "bundle0"
 | |
| blo "0,800"
 | |
| tm "BundleNameMgr"
 | |
| )
 | |
| second (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,1000,1500,2200"
 | |
| st "()"
 | |
| tm "BundleContentsMgr"
 | |
| )
 | |
| )
 | |
| bundleNet &0
 | |
| )
 | |
| defaultPortMapFrame (PortMapFrame
 | |
| ps "PortMapFrameStrategy"
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "0,0,32768"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "0,0,10000,12000"
 | |
| )
 | |
| portMapText (BiTextGroup
 | |
| ps "BottomRightOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,0,5000,1200"
 | |
| st "Auto list"
 | |
| )
 | |
| second (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,1200,9600,2400"
 | |
| st "User defined list"
 | |
| tm "PortMapTextMgr"
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultGenFrame (Frame
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "26368,26368,26368"
 | |
| lineStyle 2
 | |
| lineWidth 3
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "-2950,-1200,15550,0"
 | |
| st "g0: FOR i IN 0 TO n GENERATE"
 | |
| tm "FrameTitleTextMgr"
 | |
| )
 | |
| )
 | |
| seqNum (FrameSequenceNumber
 | |
| ps "TopLeftStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| )
 | |
| xt "50,50,1050,1450"
 | |
| )
 | |
| num (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "-150,150,1250,1350"
 | |
| st "1"
 | |
| blo "-150,1150"
 | |
| tm "FrameSeqNumMgr"
 | |
| )
 | |
| )
 | |
| decls (MlTextGroup
 | |
| ps "BottomRightOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *173 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "14100,20000,24900,21200"
 | |
| st "Frame Declarations"
 | |
| blo "14100,21000"
 | |
| )
 | |
| *174 (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "14100,21200,14100,21200"
 | |
| tm "BdFrameDeclTextMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| )
 | |
| defaultBlockFrame (Frame
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "26368,26368,26368"
 | |
| lineStyle 1
 | |
| lineWidth 3
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "-1800,-1200,9200,0"
 | |
| st "b0: BLOCK (guard)"
 | |
| tm "FrameTitleTextMgr"
 | |
| )
 | |
| )
 | |
| seqNum (FrameSequenceNumber
 | |
| ps "TopLeftStrategy"
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| )
 | |
| xt "50,50,1050,1450"
 | |
| )
 | |
| num (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "-150,150,1250,1350"
 | |
| st "1"
 | |
| blo "-150,1150"
 | |
| tm "FrameSeqNumMgr"
 | |
| )
 | |
| )
 | |
| decls (MlTextGroup
 | |
| ps "BottomRightOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *175 (Text
 | |
| va (VaSet
 | |
| font "Verdana,9,1"
 | |
| )
 | |
| xt "14100,20000,24900,21200"
 | |
| st "Frame Declarations"
 | |
| blo "14100,21000"
 | |
| )
 | |
| *176 (MLText
 | |
| va (VaSet
 | |
| )
 | |
| xt "14100,21200,14100,21200"
 | |
| tm "BdFrameDeclTextMgr"
 | |
| )
 | |
| ]
 | |
| )
 | |
| style 3
 | |
| )
 | |
| defaultSaCptPort (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,750,2800,1950"
 | |
| st "Port"
 | |
| blo "0,1750"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "Port"
 | |
| t ""
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultSaCptPortBuffer (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Diamond
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| )
 | |
| xt "0,750,2800,1950"
 | |
| st "Port"
 | |
| blo "0,1750"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| m 3
 | |
| decl (Decl
 | |
| n "Port"
 | |
| t ""
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultDeclText (MLText
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| )
 | |
| archDeclarativeBlock (BdArchDeclBlock
 | |
| uid 1,0
 | |
| stg "BdArchDeclBlockLS"
 | |
| declLabel (Text
 | |
| uid 2,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-76000,54500,-69500,55400"
 | |
| st "Declarations"
 | |
| blo "-76000,55200"
 | |
| )
 | |
| portLabel (Text
 | |
| uid 3,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-76000,55400,-73000,56300"
 | |
| st "Ports:"
 | |
| blo "-76000,56100"
 | |
| )
 | |
| preUserLabel (Text
 | |
| uid 4,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-76000,66300,-71500,67200"
 | |
| st "Pre User:"
 | |
| blo "-76000,67000"
 | |
| )
 | |
| preUserText (MLText
 | |
| uid 5,0
 | |
| va (VaSet
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-74000,67200,-44900,74200"
 | |
| st "constant fontColumnNb: positive := 6;
 | |
| constant fontRowNb: positive := 8;
 | |
| constant characterPixelNb: positive := fontColumnNb*fontRowNb;
 | |
| 
 | |
| constant lcdDataBitNb: positive := 8+1;
 | |
| constant lcdPageNb: positive := 4;
 | |
| constant lcdColumnNb: positive := 132;"
 | |
| tm "BdDeclarativeTextMgr"
 | |
| )
 | |
| diagSignalLabel (Text
 | |
| uid 6,0
 | |
| va (VaSet
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-76000,74200,-67500,75100"
 | |
| st "Diagram Signals:"
 | |
| blo "-76000,74900"
 | |
| )
 | |
| postUserLabel (Text
 | |
| uid 7,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,1"
 | |
| )
 | |
| xt "-76000,54500,-70500,55400"
 | |
| st "Post User:"
 | |
| blo "-76000,55200"
 | |
| )
 | |
| postUserText (MLText
 | |
| uid 8,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "Verdana,8,0"
 | |
| )
 | |
| xt "-76000,54500,-76000,54500"
 | |
| tm "BdDeclarativeTextMgr"
 | |
| )
 | |
| )
 | |
| commonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| ordering 1
 | |
| suid 205,0
 | |
| usingSuid 1
 | |
| emptyRow *177 (LEmptyRow
 | |
| )
 | |
| uid 1151,0
 | |
| optionalChildren [
 | |
| *178 (RefLabelRowHdr
 | |
| )
 | |
| *179 (TitleRowHdr
 | |
| )
 | |
| *180 (FilterRowHdr
 | |
| )
 | |
| *181 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *182 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *183 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *184 (NameColHdr
 | |
| tm "BlockDiagramNameColHdrMgr"
 | |
| )
 | |
| *185 (ModeColHdr
 | |
| tm "BlockDiagramModeColHdrMgr"
 | |
| )
 | |
| *186 (TypeColHdr
 | |
| tm "BlockDiagramTypeColHdrMgr"
 | |
| )
 | |
| *187 (BoundsColHdr
 | |
| tm "BlockDiagramBoundsColHdrMgr"
 | |
| )
 | |
| *188 (InitColHdr
 | |
| tm "BlockDiagramInitColHdrMgr"
 | |
| )
 | |
| *189 (EolColHdr
 | |
| tm "BlockDiagramEolColHdrMgr"
 | |
| )
 | |
| *190 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 115,0
 | |
| )
 | |
| )
 | |
| uid 6784,0
 | |
| )
 | |
| *191 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "SI"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 121,0
 | |
| )
 | |
| )
 | |
| uid 6786,0
 | |
| )
 | |
| *192 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "SCL"
 | |
| t "std_ulogic"
 | |
| o 3
 | |
| suid 122,0
 | |
| )
 | |
| )
 | |
| uid 6788,0
 | |
| )
 | |
| *193 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "A0"
 | |
| t "std_ulogic"
 | |
| o 4
 | |
| suid 123,0
 | |
| )
 | |
| )
 | |
| uid 6790,0
 | |
| )
 | |
| *194 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 7
 | |
| suid 139,0
 | |
| )
 | |
| )
 | |
| uid 7915,0
 | |
| )
 | |
| *195 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "ascii"
 | |
| t "std_ulogic_vector"
 | |
| b "(asciiBitNb-1 downto 0)"
 | |
| o 8
 | |
| suid 158,0
 | |
| )
 | |
| )
 | |
| uid 8176,0
 | |
| )
 | |
| *196 (LeafLogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "send"
 | |
| t "std_ulogic"
 | |
| o 9
 | |
| suid 176,0
 | |
| )
 | |
| )
 | |
| uid 9479,0
 | |
| )
 | |
| *197 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "CS1_n"
 | |
| t "std_ulogic"
 | |
| o 5
 | |
| suid 182,0
 | |
| )
 | |
| )
 | |
| uid 9952,0
 | |
| )
 | |
| *198 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "RST_n"
 | |
| t "std_ulogic"
 | |
| o 6
 | |
| suid 183,0
 | |
| )
 | |
| )
 | |
| uid 9954,0
 | |
| )
 | |
| *199 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdData"
 | |
| t "std_ulogic_vector"
 | |
| b "(9-1 DOWNTO 0)"
 | |
| o 11
 | |
| suid 187,0
 | |
| )
 | |
| )
 | |
| uid 11293,0
 | |
| )
 | |
| *200 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdSend"
 | |
| t "std_ulogic"
 | |
| o 12
 | |
| suid 188,0
 | |
| )
 | |
| )
 | |
| uid 11295,0
 | |
| )
 | |
| *201 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdBusy"
 | |
| t "std_ulogic"
 | |
| o 13
 | |
| suid 189,0
 | |
| )
 | |
| )
 | |
| uid 11297,0
 | |
| )
 | |
| *202 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdData1"
 | |
| t "std_ulogic_vector"
 | |
| b "(lcdDataBitNb-1 downto 0)"
 | |
| o 14
 | |
| suid 190,0
 | |
| )
 | |
| )
 | |
| uid 11299,0
 | |
| )
 | |
| *203 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdSend1"
 | |
| t "std_ulogic"
 | |
| o 15
 | |
| suid 191,0
 | |
| )
 | |
| )
 | |
| uid 11301,0
 | |
| )
 | |
| *204 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "lcdBusy1"
 | |
| t "std_ulogic"
 | |
| o 16
 | |
| suid 192,0
 | |
| )
 | |
| )
 | |
| uid 11303,0
 | |
| )
 | |
| *205 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 1
 | |
| decl (Decl
 | |
| n "busy"
 | |
| t "std_ulogic"
 | |
| o 10
 | |
| suid 193,0
 | |
| )
 | |
| )
 | |
| uid 11305,0
 | |
| )
 | |
| *206 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "dataInA"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| o 17
 | |
| suid 194,0
 | |
| )
 | |
| )
 | |
| uid 11696,0
 | |
| )
 | |
| *207 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "addressB"
 | |
| t "std_ulogic_vector"
 | |
| b "(asciiBitNb-1 DOWNTO 0)"
 | |
| o 18
 | |
| suid 195,0
 | |
| )
 | |
| )
 | |
| uid 11698,0
 | |
| )
 | |
| *208 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "dataInB"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| o 19
 | |
| suid 196,0
 | |
| )
 | |
| )
 | |
| uid 11700,0
 | |
| )
 | |
| *209 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "writeEnB"
 | |
| t "std_ulogic"
 | |
| o 20
 | |
| suid 197,0
 | |
| )
 | |
| )
 | |
| uid 11702,0
 | |
| )
 | |
| *210 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "enB"
 | |
| t "std_ulogic"
 | |
| o 21
 | |
| suid 198,0
 | |
| )
 | |
| )
 | |
| uid 11704,0
 | |
| )
 | |
| *211 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "clockB"
 | |
| t "std_ulogic"
 | |
| o 22
 | |
| suid 199,0
 | |
| )
 | |
| )
 | |
| uid 11706,0
 | |
| )
 | |
| *212 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "enA"
 | |
| t "std_ulogic"
 | |
| o 23
 | |
| suid 200,0
 | |
| )
 | |
| )
 | |
| uid 11708,0
 | |
| )
 | |
| *213 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "writeEnA"
 | |
| t "std_ulogic"
 | |
| o 24
 | |
| suid 201,0
 | |
| )
 | |
| )
 | |
| uid 11710,0
 | |
| )
 | |
| *214 (LeafLogPort
 | |
| port (LogicalPort
 | |
| lang 10
 | |
| m 4
 | |
| decl (Decl
 | |
| n "pixelData"
 | |
| t "std_ulogic_vector"
 | |
| b "(characterPixelNb-1 DOWNTO 0)"
 | |
| posAdd 0
 | |
| o 25
 | |
| suid 203,0
 | |
| )
 | |
| )
 | |
| uid 11712,0
 | |
| )
 | |
| *215 (LeafLogPort
 | |
| port (LogicalPort
 | |
| m 4
 | |
| decl (Decl
 | |
| n "clearDisplay"
 | |
| t "std_ulogic"
 | |
| o 26
 | |
| suid 204,0
 | |
| )
 | |
| )
 | |
| uid 12585,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 1164,0
 | |
| optionalChildren [
 | |
| *216 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| emptyMRCItem *217 (MRCItem
 | |
| litem &177
 | |
| pos 26
 | |
| dimension 20
 | |
| )
 | |
| uid 1166,0
 | |
| optionalChildren [
 | |
| *218 (MRCItem
 | |
| litem &178
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 1167,0
 | |
| )
 | |
| *219 (MRCItem
 | |
| litem &179
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 1168,0
 | |
| )
 | |
| *220 (MRCItem
 | |
| litem &180
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 1169,0
 | |
| )
 | |
| *221 (MRCItem
 | |
| litem &190
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 6785,0
 | |
| )
 | |
| *222 (MRCItem
 | |
| litem &191
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 6787,0
 | |
| )
 | |
| *223 (MRCItem
 | |
| litem &192
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 6789,0
 | |
| )
 | |
| *224 (MRCItem
 | |
| litem &193
 | |
| pos 3
 | |
| dimension 20
 | |
| uid 6791,0
 | |
| )
 | |
| *225 (MRCItem
 | |
| litem &194
 | |
| pos 4
 | |
| dimension 20
 | |
| uid 7916,0
 | |
| )
 | |
| *226 (MRCItem
 | |
| litem &195
 | |
| pos 5
 | |
| dimension 20
 | |
| uid 8177,0
 | |
| )
 | |
| *227 (MRCItem
 | |
| litem &196
 | |
| pos 6
 | |
| dimension 20
 | |
| uid 9480,0
 | |
| )
 | |
| *228 (MRCItem
 | |
| litem &197
 | |
| pos 7
 | |
| dimension 20
 | |
| uid 9953,0
 | |
| )
 | |
| *229 (MRCItem
 | |
| litem &198
 | |
| pos 8
 | |
| dimension 20
 | |
| uid 9955,0
 | |
| )
 | |
| *230 (MRCItem
 | |
| litem &199
 | |
| pos 10
 | |
| dimension 20
 | |
| uid 11294,0
 | |
| )
 | |
| *231 (MRCItem
 | |
| litem &200
 | |
| pos 11
 | |
| dimension 20
 | |
| uid 11296,0
 | |
| )
 | |
| *232 (MRCItem
 | |
| litem &201
 | |
| pos 12
 | |
| dimension 20
 | |
| uid 11298,0
 | |
| )
 | |
| *233 (MRCItem
 | |
| litem &202
 | |
| pos 13
 | |
| dimension 20
 | |
| uid 11300,0
 | |
| )
 | |
| *234 (MRCItem
 | |
| litem &203
 | |
| pos 14
 | |
| dimension 20
 | |
| uid 11302,0
 | |
| )
 | |
| *235 (MRCItem
 | |
| litem &204
 | |
| pos 15
 | |
| dimension 20
 | |
| uid 11304,0
 | |
| )
 | |
| *236 (MRCItem
 | |
| litem &205
 | |
| pos 9
 | |
| dimension 20
 | |
| uid 11306,0
 | |
| )
 | |
| *237 (MRCItem
 | |
| litem &206
 | |
| pos 16
 | |
| dimension 20
 | |
| uid 11697,0
 | |
| )
 | |
| *238 (MRCItem
 | |
| litem &207
 | |
| pos 17
 | |
| dimension 20
 | |
| uid 11699,0
 | |
| )
 | |
| *239 (MRCItem
 | |
| litem &208
 | |
| pos 18
 | |
| dimension 20
 | |
| uid 11701,0
 | |
| )
 | |
| *240 (MRCItem
 | |
| litem &209
 | |
| pos 19
 | |
| dimension 20
 | |
| uid 11703,0
 | |
| )
 | |
| *241 (MRCItem
 | |
| litem &210
 | |
| pos 20
 | |
| dimension 20
 | |
| uid 11705,0
 | |
| )
 | |
| *242 (MRCItem
 | |
| litem &211
 | |
| pos 21
 | |
| dimension 20
 | |
| uid 11707,0
 | |
| )
 | |
| *243 (MRCItem
 | |
| litem &212
 | |
| pos 22
 | |
| dimension 20
 | |
| uid 11709,0
 | |
| )
 | |
| *244 (MRCItem
 | |
| litem &213
 | |
| pos 23
 | |
| dimension 20
 | |
| uid 11711,0
 | |
| )
 | |
| *245 (MRCItem
 | |
| litem &214
 | |
| pos 24
 | |
| dimension 20
 | |
| uid 11713,0
 | |
| )
 | |
| *246 (MRCItem
 | |
| litem &215
 | |
| pos 25
 | |
| dimension 20
 | |
| uid 12586,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 1170,0
 | |
| optionalChildren [
 | |
| *247 (MRCItem
 | |
| litem &181
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 1171,0
 | |
| )
 | |
| *248 (MRCItem
 | |
| litem &183
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 1172,0
 | |
| )
 | |
| *249 (MRCItem
 | |
| litem &184
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 1173,0
 | |
| )
 | |
| *250 (MRCItem
 | |
| litem &185
 | |
| pos 3
 | |
| dimension 50
 | |
| uid 1174,0
 | |
| )
 | |
| *251 (MRCItem
 | |
| litem &186
 | |
| pos 4
 | |
| dimension 100
 | |
| uid 1175,0
 | |
| )
 | |
| *252 (MRCItem
 | |
| litem &187
 | |
| pos 5
 | |
| dimension 100
 | |
| uid 1176,0
 | |
| )
 | |
| *253 (MRCItem
 | |
| litem &188
 | |
| pos 6
 | |
| dimension 50
 | |
| uid 1177,0
 | |
| )
 | |
| *254 (MRCItem
 | |
| litem &189
 | |
| pos 7
 | |
| dimension 80
 | |
| uid 1178,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 4
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 1165,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 1150,0
 | |
| )
 | |
| genericsCommonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| emptyRow *255 (LEmptyRow
 | |
| )
 | |
| uid 1180,0
 | |
| optionalChildren [
 | |
| *256 (RefLabelRowHdr
 | |
| )
 | |
| *257 (TitleRowHdr
 | |
| )
 | |
| *258 (FilterRowHdr
 | |
| )
 | |
| *259 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *260 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *261 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *262 (NameColHdr
 | |
| tm "GenericNameColHdrMgr"
 | |
| )
 | |
| *263 (TypeColHdr
 | |
| tm "GenericTypeColHdrMgr"
 | |
| )
 | |
| *264 (InitColHdr
 | |
| tm "GenericValueColHdrMgr"
 | |
| )
 | |
| *265 (PragmaColHdr
 | |
| tm "GenericPragmaColHdrMgr"
 | |
| )
 | |
| *266 (EolColHdr
 | |
| tm "GenericEolColHdrMgr"
 | |
| )
 | |
| *267 (LogGeneric
 | |
| generic (GiElement
 | |
| name "asciiBitNb"
 | |
| type "positive"
 | |
| value "7"
 | |
| )
 | |
| uid 8178,0
 | |
| )
 | |
| *268 (LogGeneric
 | |
| generic (GiElement
 | |
| name "baudRateDivide"
 | |
| type "integer"
 | |
| value "2"
 | |
| )
 | |
| uid 10994,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 1192,0
 | |
| optionalChildren [
 | |
| *269 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| )
 | |
| emptyMRCItem *270 (MRCItem
 | |
| litem &255
 | |
| pos 2
 | |
| dimension 20
 | |
| )
 | |
| uid 1194,0
 | |
| optionalChildren [
 | |
| *271 (MRCItem
 | |
| litem &256
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 1195,0
 | |
| )
 | |
| *272 (MRCItem
 | |
| litem &257
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 1196,0
 | |
| )
 | |
| *273 (MRCItem
 | |
| litem &258
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 1197,0
 | |
| )
 | |
| *274 (MRCItem
 | |
| litem &267
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 8179,0
 | |
| )
 | |
| *275 (MRCItem
 | |
| litem &268
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 10993,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "Tahoma,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 1198,0
 | |
| optionalChildren [
 | |
| *276 (MRCItem
 | |
| litem &259
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 1199,0
 | |
| )
 | |
| *277 (MRCItem
 | |
| litem &261
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 1200,0
 | |
| )
 | |
| *278 (MRCItem
 | |
| litem &262
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 1201,0
 | |
| )
 | |
| *279 (MRCItem
 | |
| litem &263
 | |
| pos 3
 | |
| dimension 100
 | |
| uid 1202,0
 | |
| )
 | |
| *280 (MRCItem
 | |
| litem &264
 | |
| pos 4
 | |
| dimension 50
 | |
| uid 1203,0
 | |
| )
 | |
| *281 (MRCItem
 | |
| litem &265
 | |
| pos 5
 | |
| dimension 50
 | |
| uid 1204,0
 | |
| )
 | |
| *282 (MRCItem
 | |
| litem &266
 | |
| pos 6
 | |
| dimension 80
 | |
| uid 1205,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 3
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 1193,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 1179,0
 | |
| type 1
 | |
| )
 | |
| activeModelName "BlockDiag"
 | |
| )
 |