mirror of
				https://github.com/Klagarge/Cursor.git
				synced 2025-10-31 14:09:17 +00:00 
			
		
		
		
	
		
			
				
	
	
		
			1603 lines
		
	
	
		
			20 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
			
		
		
	
	
			1603 lines
		
	
	
		
			20 KiB
		
	
	
	
		
			Plaintext
		
	
	
	
	
	
| DocumentHdrVersion "1.1"
 | |
| Header (DocumentHdr
 | |
| version 2
 | |
| dialect 11
 | |
| dmPackageRefs [
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "std_logic_1164"
 | |
| )
 | |
| (DmPackageRef
 | |
| library "ieee"
 | |
| unitName "numeric_std"
 | |
| )
 | |
| ]
 | |
| libraryRefs [
 | |
| "ieee"
 | |
| ]
 | |
| )
 | |
| version "26.1"
 | |
| appVersion "2018.1 (Build 12)"
 | |
| model (Symbol
 | |
| commonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| ordering 1
 | |
| suid 10,0
 | |
| usingSuid 1
 | |
| emptyRow *1 (LEmptyRow
 | |
| )
 | |
| uid 53,0
 | |
| optionalChildren [
 | |
| *2 (RefLabelRowHdr
 | |
| )
 | |
| *3 (TitleRowHdr
 | |
| )
 | |
| *4 (FilterRowHdr
 | |
| )
 | |
| *5 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *6 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *7 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *8 (NameColHdr
 | |
| tm "NameColHdrMgr"
 | |
| )
 | |
| *9 (ModeColHdr
 | |
| tm "ModeColHdrMgr"
 | |
| )
 | |
| *10 (TypeColHdr
 | |
| tm "TypeColHdrMgr"
 | |
| )
 | |
| *11 (BoundsColHdr
 | |
| tm "BoundsColHdrMgr"
 | |
| )
 | |
| *12 (InitColHdr
 | |
| tm "InitColHdrMgr"
 | |
| )
 | |
| *13 (EolColHdr
 | |
| tm "EolColHdrMgr"
 | |
| )
 | |
| *14 (LogPort
 | |
| port (LogicalPort
 | |
| lang 11
 | |
| m 1
 | |
| decl (Decl
 | |
| n "number"
 | |
| t "unsigned"
 | |
| b "(outputBitNb-1 DOWNTO 0)"
 | |
| o 3
 | |
| suid 1,0
 | |
| )
 | |
| )
 | |
| uid 158,0
 | |
| )
 | |
| *15 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "rotary"
 | |
| t "unsigned"
 | |
| b "(rotaryBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 2,0
 | |
| )
 | |
| )
 | |
| uid 160,0
 | |
| )
 | |
| *16 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 3,0
 | |
| )
 | |
| )
 | |
| uid 162,0
 | |
| )
 | |
| *17 (LogPort
 | |
| port (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 9,0
 | |
| )
 | |
| )
 | |
| uid 174,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 66,0
 | |
| optionalChildren [
 | |
| *18 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *19 (MRCItem
 | |
| litem &1
 | |
| pos 4
 | |
| dimension 20
 | |
| )
 | |
| uid 68,0
 | |
| optionalChildren [
 | |
| *20 (MRCItem
 | |
| litem &2
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 69,0
 | |
| )
 | |
| *21 (MRCItem
 | |
| litem &3
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 70,0
 | |
| )
 | |
| *22 (MRCItem
 | |
| litem &4
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 71,0
 | |
| )
 | |
| *23 (MRCItem
 | |
| litem &14
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 159,0
 | |
| )
 | |
| *24 (MRCItem
 | |
| litem &15
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 161,0
 | |
| )
 | |
| *25 (MRCItem
 | |
| litem &16
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 163,0
 | |
| )
 | |
| *26 (MRCItem
 | |
| litem &17
 | |
| pos 3
 | |
| dimension 20
 | |
| uid 175,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 72,0
 | |
| optionalChildren [
 | |
| *27 (MRCItem
 | |
| litem &5
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 73,0
 | |
| )
 | |
| *28 (MRCItem
 | |
| litem &7
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 74,0
 | |
| )
 | |
| *29 (MRCItem
 | |
| litem &8
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 75,0
 | |
| )
 | |
| *30 (MRCItem
 | |
| litem &9
 | |
| pos 3
 | |
| dimension 50
 | |
| uid 76,0
 | |
| )
 | |
| *31 (MRCItem
 | |
| litem &10
 | |
| pos 4
 | |
| dimension 100
 | |
| uid 77,0
 | |
| )
 | |
| *32 (MRCItem
 | |
| litem &11
 | |
| pos 5
 | |
| dimension 100
 | |
| uid 78,0
 | |
| )
 | |
| *33 (MRCItem
 | |
| litem &12
 | |
| pos 6
 | |
| dimension 50
 | |
| uid 79,0
 | |
| )
 | |
| *34 (MRCItem
 | |
| litem &13
 | |
| pos 7
 | |
| dimension 80
 | |
| uid 80,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 4
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 67,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 52,0
 | |
| )
 | |
| genericsCommonDM (CommonDM
 | |
| ldm (LogicalDM
 | |
| emptyRow *35 (LEmptyRow
 | |
| )
 | |
| uid 82,0
 | |
| optionalChildren [
 | |
| *36 (RefLabelRowHdr
 | |
| )
 | |
| *37 (TitleRowHdr
 | |
| )
 | |
| *38 (FilterRowHdr
 | |
| )
 | |
| *39 (RefLabelColHdr
 | |
| tm "RefLabelColHdrMgr"
 | |
| )
 | |
| *40 (RowExpandColHdr
 | |
| tm "RowExpandColHdrMgr"
 | |
| )
 | |
| *41 (GroupColHdr
 | |
| tm "GroupColHdrMgr"
 | |
| )
 | |
| *42 (NameColHdr
 | |
| tm "GenericNameColHdrMgr"
 | |
| )
 | |
| *43 (TypeColHdr
 | |
| tm "GenericTypeColHdrMgr"
 | |
| )
 | |
| *44 (InitColHdr
 | |
| tm "GenericValueColHdrMgr"
 | |
| )
 | |
| *45 (PragmaColHdr
 | |
| tm "GenericPragmaColHdrMgr"
 | |
| )
 | |
| *46 (EolColHdr
 | |
| tm "GenericEolColHdrMgr"
 | |
| )
 | |
| *47 (LogGeneric
 | |
| generic (GiElement
 | |
| name "rotaryBitNb"
 | |
| type "positive"
 | |
| value "4"
 | |
| )
 | |
| uid 225,0
 | |
| )
 | |
| *48 (LogGeneric
 | |
| generic (GiElement
 | |
| name "outputBitNb"
 | |
| type "positive"
 | |
| value "8"
 | |
| )
 | |
| uid 227,0
 | |
| )
 | |
| *49 (LogGeneric
 | |
| generic (GiElement
 | |
| name "counterBitNb"
 | |
| type "positive"
 | |
| value "10E3"
 | |
| )
 | |
| uid 436,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| pdm (PhysicalDM
 | |
| displayShortBounds 1
 | |
| editShortBounds 1
 | |
| uid 94,0
 | |
| optionalChildren [
 | |
| *50 (Sheet
 | |
| sheetRow (SheetRow
 | |
| headerVa (MVa
 | |
| cellColor "49152,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| cellVa (MVa
 | |
| cellColor "65535,65535,65535"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| groupVa (MVa
 | |
| cellColor "39936,56832,65280"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| )
 | |
| emptyMRCItem *51 (MRCItem
 | |
| litem &35
 | |
| pos 3
 | |
| dimension 20
 | |
| )
 | |
| uid 96,0
 | |
| optionalChildren [
 | |
| *52 (MRCItem
 | |
| litem &36
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 97,0
 | |
| )
 | |
| *53 (MRCItem
 | |
| litem &37
 | |
| pos 1
 | |
| dimension 23
 | |
| uid 98,0
 | |
| )
 | |
| *54 (MRCItem
 | |
| litem &38
 | |
| pos 2
 | |
| hidden 1
 | |
| dimension 20
 | |
| uid 99,0
 | |
| )
 | |
| *55 (MRCItem
 | |
| litem &47
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 226,0
 | |
| )
 | |
| *56 (MRCItem
 | |
| litem &48
 | |
| pos 1
 | |
| dimension 20
 | |
| uid 228,0
 | |
| )
 | |
| *57 (MRCItem
 | |
| litem &49
 | |
| pos 2
 | |
| dimension 20
 | |
| uid 437,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| sheetCol (SheetCol
 | |
| propVa (MVa
 | |
| cellColor "0,49152,49152"
 | |
| fontColor "0,0,0"
 | |
| font "courier,10,0"
 | |
| textAngle 90
 | |
| )
 | |
| uid 100,0
 | |
| optionalChildren [
 | |
| *58 (MRCItem
 | |
| litem &39
 | |
| pos 0
 | |
| dimension 20
 | |
| uid 101,0
 | |
| )
 | |
| *59 (MRCItem
 | |
| litem &41
 | |
| pos 1
 | |
| dimension 50
 | |
| uid 102,0
 | |
| )
 | |
| *60 (MRCItem
 | |
| litem &42
 | |
| pos 2
 | |
| dimension 100
 | |
| uid 103,0
 | |
| )
 | |
| *61 (MRCItem
 | |
| litem &43
 | |
| pos 3
 | |
| dimension 100
 | |
| uid 104,0
 | |
| )
 | |
| *62 (MRCItem
 | |
| litem &44
 | |
| pos 4
 | |
| dimension 50
 | |
| uid 105,0
 | |
| )
 | |
| *63 (MRCItem
 | |
| litem &45
 | |
| pos 5
 | |
| dimension 50
 | |
| uid 106,0
 | |
| )
 | |
| *64 (MRCItem
 | |
| litem &46
 | |
| pos 6
 | |
| dimension 80
 | |
| uid 107,0
 | |
| )
 | |
| ]
 | |
| )
 | |
| fixedCol 3
 | |
| fixedRow 2
 | |
| name "Ports"
 | |
| uid 95,0
 | |
| vaOverrides [
 | |
| ]
 | |
| )
 | |
| ]
 | |
| )
 | |
| uid 81,0
 | |
| type 1
 | |
| )
 | |
| VExpander (VariableExpander
 | |
| vvMap [
 | |
| (vvPair
 | |
| variable "HDLDir"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hdl"
 | |
| )
 | |
| (vvPair
 | |
| variable "HDSDir"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataDesignDir"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.info"
 | |
| )
 | |
| (vvPair
 | |
| variable "SideDataUserDir"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.user"
 | |
| )
 | |
| (vvPair
 | |
| variable "SourceDir"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "appl"
 | |
| value "HDL Designer"
 | |
| )
 | |
| (vvPair
 | |
| variable "arch_name"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "concat_file"
 | |
| value "concatenated"
 | |
| )
 | |
| (vvPair
 | |
| variable "config"
 | |
| value "%(unit)_%(view)_config"
 | |
| )
 | |
| (vvPair
 | |
| variable "d"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned"
 | |
| )
 | |
| (vvPair
 | |
| variable "d_logical"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned"
 | |
| )
 | |
| (vvPair
 | |
| variable "date"
 | |
| value "11/07/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "day"
 | |
| value "Thu"
 | |
| )
 | |
| (vvPair
 | |
| variable "day_long"
 | |
| value "Thursday"
 | |
| )
 | |
| (vvPair
 | |
| variable "dd"
 | |
| value "07"
 | |
| )
 | |
| (vvPair
 | |
| variable "entity_name"
 | |
| value "rotaryToUnsigned"
 | |
| )
 | |
| (vvPair
 | |
| variable "ext"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "f"
 | |
| value "symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_logical"
 | |
| value "symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "f_noext"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_author"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_date"
 | |
| value "11/07/19"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "graphical_source_time"
 | |
| value "13:19:40"
 | |
| )
 | |
| (vvPair
 | |
| variable "group"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "host"
 | |
| value "Aphelia"
 | |
| )
 | |
| (vvPair
 | |
| variable "language"
 | |
| value "VHDL"
 | |
| )
 | |
| (vvPair
 | |
| variable "library"
 | |
| value "Common"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_Concatenation"
 | |
| value "$HDS_PROJECT_DIR\\..\\Sinewave\\concat"
 | |
| )
 | |
| (vvPair
 | |
| variable "library_downstream_ModelSimCompiler"
 | |
| value "$SCRATCH_DIR/$DESIGN_NAME/Common/work"
 | |
| )
 | |
| (vvPair
 | |
| variable "mm"
 | |
| value "11"
 | |
| )
 | |
| (vvPair
 | |
| variable "module_name"
 | |
| value "rotaryToUnsigned"
 | |
| )
 | |
| (vvPair
 | |
| variable "month"
 | |
| value "Nov"
 | |
| )
 | |
| (vvPair
 | |
| variable "month_long"
 | |
| value "November"
 | |
| )
 | |
| (vvPair
 | |
| variable "p"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "p_logical"
 | |
| value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned/symbol.sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "package_name"
 | |
| value "<Undefined Variable>"
 | |
| )
 | |
| (vvPair
 | |
| variable "project_name"
 | |
| value "hds"
 | |
| )
 | |
| (vvPair
 | |
| variable "series"
 | |
| value "HDL Designer Series"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_DesignCompilerPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_HDSPath"
 | |
| value "$HDS_HOME"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEPath"
 | |
| value "$ISE_HOME\\ISE\\bin\\nt"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ISEProjectPath"
 | |
| value "$SCRATCH_DIR\\Support\\Board\\ise"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_LeonardoPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_ModelSimPath"
 | |
| value "/usr/opt/Modelsim/modeltech/bin"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_NC-SimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_PrecisionRTLPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_QuestaSimPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "task_VCSPath"
 | |
| value "<TBD>"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_ext"
 | |
| value "sb"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "this_file_logical"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "time"
 | |
| value "13:19:40"
 | |
| )
 | |
| (vvPair
 | |
| variable "unit"
 | |
| value "rotaryToUnsigned"
 | |
| )
 | |
| (vvPair
 | |
| variable "user"
 | |
| value "francois"
 | |
| )
 | |
| (vvPair
 | |
| variable "version"
 | |
| value "2018.1 (Build 12)"
 | |
| )
 | |
| (vvPair
 | |
| variable "view"
 | |
| value "symbol"
 | |
| )
 | |
| (vvPair
 | |
| variable "year"
 | |
| value "2019"
 | |
| )
 | |
| (vvPair
 | |
| variable "yy"
 | |
| value "19"
 | |
| )
 | |
| ]
 | |
| )
 | |
| LanguageMgr "Vhdl2008LangMgr"
 | |
| uid 51,0
 | |
| optionalChildren [
 | |
| *65 (SymbolBody
 | |
| uid 8,0
 | |
| optionalChildren [
 | |
| *66 (CptPort
 | |
| uid 108,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 109,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "42000,19625,42750,20375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 110,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "RightVerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 111,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "37400,19500,41000,20500"
 | |
| st "number"
 | |
| ju 2
 | |
| blo "41000,20300"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 112,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-13000,8900,13000,9800"
 | |
| st "number : OUT    unsigned (outputBitNb-1 DOWNTO 0) ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 11
 | |
| m 1
 | |
| decl (Decl
 | |
| n "number"
 | |
| t "unsigned"
 | |
| b "(outputBitNb-1 DOWNTO 0)"
 | |
| o 3
 | |
| suid 1,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *67 (CptPort
 | |
| uid 113,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 114,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "25250,19625,26000,20375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 115,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 116,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "27000,19500,30600,20500"
 | |
| st "rotary"
 | |
| blo "27000,20300"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 117,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-13000,9800,12000,10700"
 | |
| st "rotary : IN     unsigned (rotaryBitNb-1 downto 0)"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "rotary"
 | |
| t "unsigned"
 | |
| b "(rotaryBitNb-1 downto 0)"
 | |
| o 4
 | |
| suid 2,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *68 (CptPort
 | |
| uid 118,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 119,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "25250,23625,26000,24375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 120,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 121,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "27000,23500,30000,24500"
 | |
| st "clock"
 | |
| blo "27000,24300"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 122,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-13000,7100,2000,8000"
 | |
| st "clock  : IN     std_ulogic  ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "clock"
 | |
| t "std_ulogic"
 | |
| o 1
 | |
| suid 3,0
 | |
| )
 | |
| )
 | |
| )
 | |
| *69 (CptPort
 | |
| uid 148,0
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| uid 149,0
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "25250,25625,26000,26375"
 | |
| )
 | |
| tg (CPTG
 | |
| uid 150,0
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| uid 151,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "27000,25500,30000,26500"
 | |
| st "reset"
 | |
| blo "27000,26300"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| uid 152,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-13000,8000,2000,8900"
 | |
| st "reset  : IN     std_ulogic  ;"
 | |
| )
 | |
| thePort (LogicalPort
 | |
| decl (Decl
 | |
| n "reset"
 | |
| t "std_ulogic"
 | |
| o 2
 | |
| suid 9,0
 | |
| )
 | |
| )
 | |
| )
 | |
| ]
 | |
| shape (Rectangle
 | |
| uid 201,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "26000,16000,42000,28000"
 | |
| )
 | |
| oxt "15000,6000,33000,26000"
 | |
| biTextGroup (BiTextGroup
 | |
| uid 10,0
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| uid 11,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26250,28000,29250,28900"
 | |
| st "Common"
 | |
| blo "26250,28700"
 | |
| )
 | |
| second (Text
 | |
| uid 12,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "26250,28900,34750,29800"
 | |
| st "rotaryToUnsigned"
 | |
| blo "26250,29600"
 | |
| )
 | |
| )
 | |
| gi *70 (GenericInterface
 | |
| uid 13,0
 | |
| ps "CenterOffsetStrategy"
 | |
| matrix (Matrix
 | |
| uid 14,0
 | |
| text (MLText
 | |
| uid 15,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "26000,30800,40500,35300"
 | |
| st "Generic Declarations
 | |
| 
 | |
| rotaryBitNb  positive 4     
 | |
| outputBitNb  positive 8     
 | |
| counterBitNb positive 10E3  
 | |
| "
 | |
| )
 | |
| header "Generic Declarations"
 | |
| showHdrWhenContentsEmpty 1
 | |
| )
 | |
| elements [
 | |
| (GiElement
 | |
| name "rotaryBitNb"
 | |
| type "positive"
 | |
| value "4"
 | |
| )
 | |
| (GiElement
 | |
| name "outputBitNb"
 | |
| type "positive"
 | |
| value "8"
 | |
| )
 | |
| (GiElement
 | |
| name "counterBitNb"
 | |
| type "positive"
 | |
| value "10E3"
 | |
| )
 | |
| ]
 | |
| )
 | |
| portInstanceVisAsIs 1
 | |
| portInstanceVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| portVis (PortSigDisplay
 | |
| sTC 0
 | |
| sF 0
 | |
| )
 | |
| )
 | |
| *71 (Grouping
 | |
| uid 16,0
 | |
| optionalChildren [
 | |
| *72 (CommentText
 | |
| uid 18,0
 | |
| shape (Rectangle
 | |
| uid 19,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,50000,34000,51000"
 | |
| )
 | |
| oxt "18000,70000,35000,71000"
 | |
| text (MLText
 | |
| uid 20,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,50000,33400,51000"
 | |
| st "
 | |
| by %user on %dd %month %year
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *73 (CommentText
 | |
| uid 21,0
 | |
| shape (Rectangle
 | |
| uid 22,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "34000,46000,38000,47000"
 | |
| )
 | |
| oxt "35000,66000,39000,67000"
 | |
| text (MLText
 | |
| uid 23,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "34200,46000,37800,47000"
 | |
| st "
 | |
| Project:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *74 (CommentText
 | |
| uid 24,0
 | |
| shape (Rectangle
 | |
| uid 25,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,48000,34000,49000"
 | |
| )
 | |
| oxt "18000,68000,35000,69000"
 | |
| text (MLText
 | |
| uid 26,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,48000,33400,49000"
 | |
| st "
 | |
| <enter diagram title here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *75 (CommentText
 | |
| uid 27,0
 | |
| shape (Rectangle
 | |
| uid 28,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "13000,48000,17000,49000"
 | |
| )
 | |
| oxt "14000,68000,18000,69000"
 | |
| text (MLText
 | |
| uid 29,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "13200,48000,16800,49000"
 | |
| st "
 | |
| Title:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *76 (CommentText
 | |
| uid 30,0
 | |
| shape (Rectangle
 | |
| uid 31,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "34000,47000,54000,51000"
 | |
| )
 | |
| oxt "35000,67000,55000,71000"
 | |
| text (MLText
 | |
| uid 32,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "34200,47200,47400,48200"
 | |
| st "
 | |
| <enter comments here>
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4000
 | |
| visibleWidth 20000
 | |
| )
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *77 (CommentText
 | |
| uid 33,0
 | |
| shape (Rectangle
 | |
| uid 34,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "38000,46000,54000,47000"
 | |
| )
 | |
| oxt "39000,66000,55000,67000"
 | |
| text (MLText
 | |
| uid 35,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "38200,46000,40000,47000"
 | |
| st "
 | |
| %project_name
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 16000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *78 (CommentText
 | |
| uid 36,0
 | |
| shape (Rectangle
 | |
| uid 37,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "13000,46000,34000,48000"
 | |
| )
 | |
| oxt "14000,66000,35000,68000"
 | |
| text (MLText
 | |
| uid 38,0
 | |
| va (VaSet
 | |
| fg "32768,0,0"
 | |
| )
 | |
| xt "19000,46500,28000,47500"
 | |
| st "
 | |
| <company name>
 | |
| "
 | |
| ju 0
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 2000
 | |
| visibleWidth 21000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *79 (CommentText
 | |
| uid 39,0
 | |
| shape (Rectangle
 | |
| uid 40,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "13000,49000,17000,50000"
 | |
| )
 | |
| oxt "14000,69000,18000,70000"
 | |
| text (MLText
 | |
| uid 41,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "13200,49000,16200,50000"
 | |
| st "
 | |
| Path:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *80 (CommentText
 | |
| uid 42,0
 | |
| shape (Rectangle
 | |
| uid 43,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "13000,50000,17000,51000"
 | |
| )
 | |
| oxt "14000,70000,18000,71000"
 | |
| text (MLText
 | |
| uid 44,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "13200,50000,16800,51000"
 | |
| st "
 | |
| Edited:
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 4000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| *81 (CommentText
 | |
| uid 45,0
 | |
| shape (Rectangle
 | |
| uid 46,0
 | |
| sl 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| )
 | |
| xt "17000,49000,34000,50000"
 | |
| )
 | |
| oxt "18000,69000,35000,70000"
 | |
| text (MLText
 | |
| uid 47,0
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| bg "0,0,32768"
 | |
| )
 | |
| xt "17200,49000,32200,50000"
 | |
| st "
 | |
| %library/%unit/%view
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 1000
 | |
| visibleWidth 17000
 | |
| )
 | |
| position 1
 | |
| ignorePrefs 1
 | |
| titleBlock 1
 | |
| )
 | |
| ]
 | |
| shape (GroupingShape
 | |
| uid 17,0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineStyle 2
 | |
| lineWidth 2
 | |
| )
 | |
| xt "13000,46000,54000,51000"
 | |
| )
 | |
| oxt "14000,66000,55000,71000"
 | |
| )
 | |
| ]
 | |
| bg "65535,65535,65535"
 | |
| grid (Grid
 | |
| origin "0,0"
 | |
| isVisible 1
 | |
| isActive 1
 | |
| xSpacing 1000
 | |
| xySpacing 1000
 | |
| xShown 1
 | |
| yShown 1
 | |
| color "26368,26368,26368"
 | |
| )
 | |
| packageList *82 (PackageList
 | |
| uid 48,0
 | |
| stg "VerticalLayoutStrategy"
 | |
| textVec [
 | |
| *83 (Text
 | |
| uid 49,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-15000,0,-8500,900"
 | |
| st "Package List"
 | |
| blo "-15000,700"
 | |
| )
 | |
| *84 (MLText
 | |
| uid 50,0
 | |
| va (VaSet
 | |
| )
 | |
| xt "-15000,1000,3600,4000"
 | |
| st "LIBRARY ieee;
 | |
|   USE ieee.std_logic_1164.all;
 | |
|   USE ieee.numeric_std.all;"
 | |
| tm "PackageList"
 | |
| )
 | |
| ]
 | |
| )
 | |
| windowSize "93,88,1360,986"
 | |
| viewArea "-16000,-1000,48728,44136"
 | |
| cachedDiagramExtent "-15000,0,54000,51000"
 | |
| hasePageBreakOrigin 1
 | |
| pageBreakOrigin "-15000,0"
 | |
| defaultCommentText (CommentText
 | |
| shape (Rectangle
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65280,65280,46080"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,15000,5000"
 | |
| )
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| )
 | |
| xt "200,200,2600,1200"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "CommentText"
 | |
| wrapOption 3
 | |
| visibleHeight 4600
 | |
| visibleWidth 14600
 | |
| )
 | |
| )
 | |
| defaultRequirementText (RequirementText
 | |
| shape (ZoomableIcon
 | |
| layer 0
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "59904,39936,65280"
 | |
| lineColor "0,0,32768"
 | |
| )
 | |
| xt "0,0,1500,1750"
 | |
| iconName "reqTracerRequirement.bmp"
 | |
| iconMaskName "reqTracerRequirement.msk"
 | |
| )
 | |
| autoResize 1
 | |
| text (MLText
 | |
| va (VaSet
 | |
| fg "0,0,32768"
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "450,2150,1450,3050"
 | |
| st "
 | |
| Text
 | |
| "
 | |
| tm "RequirementText"
 | |
| wrapOption 3
 | |
| visibleHeight 1350
 | |
| visibleWidth 1100
 | |
| )
 | |
| )
 | |
| defaultPanel (Panel
 | |
| shape (RectFrame
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| lineColor "32768,0,0"
 | |
| lineWidth 3
 | |
| )
 | |
| xt "0,0,20000,20000"
 | |
| )
 | |
| title (TextAssociate
 | |
| ps "TopLeftStrategy"
 | |
| text (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "1000,1000,3800,2000"
 | |
| st "Panel0"
 | |
| blo "1000,1800"
 | |
| tm "PanelText"
 | |
| )
 | |
| )
 | |
| )
 | |
| parentGraphicsRef (HdmGraphicsRef
 | |
| libraryName ""
 | |
| entityName ""
 | |
| viewName ""
 | |
| )
 | |
| defaultSymbolBody (SymbolBody
 | |
| shape (Rectangle
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| lineColor "0,32896,0"
 | |
| lineWidth 2
 | |
| )
 | |
| xt "15000,6000,33000,26000"
 | |
| )
 | |
| biTextGroup (BiTextGroup
 | |
| ps "CenterOffsetStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| first (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "22200,15000,25800,16000"
 | |
| st "<library>"
 | |
| blo "22200,15800"
 | |
| )
 | |
| second (Text
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "22200,16000,24800,17000"
 | |
| st "<cell>"
 | |
| blo "22200,16800"
 | |
| )
 | |
| )
 | |
| gi *85 (GenericInterface
 | |
| ps "CenterOffsetStrategy"
 | |
| matrix (Matrix
 | |
| text (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,12000,10500,12900"
 | |
| st "Generic Declarations"
 | |
| )
 | |
| header "Generic Declarations"
 | |
| showHdrWhenContentsEmpty 1
 | |
| )
 | |
| elements [
 | |
| ]
 | |
| )
 | |
| portInstanceVisAsIs 1
 | |
| portInstanceVis (PortSigDisplay
 | |
| sIVOD 1
 | |
| )
 | |
| portVis (PortSigDisplay
 | |
| sIVOD 1
 | |
| )
 | |
| )
 | |
| defaultCptPort (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Triangle
 | |
| ro 90
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "0,65535,0"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,750,1500,1650"
 | |
| st "In0"
 | |
| blo "0,1450"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 11
 | |
| decl (Decl
 | |
| n "In0"
 | |
| t "std_logic_vector"
 | |
| b "(15 DOWNTO 0)"
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| defaultCptPortBuffer (CptPort
 | |
| ps "OnEdgeStrategy"
 | |
| shape (Diamond
 | |
| va (VaSet
 | |
| vasetType 1
 | |
| fg "65535,65535,65535"
 | |
| bg "0,0,0"
 | |
| )
 | |
| xt "0,0,750,750"
 | |
| )
 | |
| tg (CPTG
 | |
| ps "CptPortTextPlaceStrategy"
 | |
| stg "VerticalLayoutStrategy"
 | |
| f (Text
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "0,750,3500,1650"
 | |
| st "Buffer0"
 | |
| blo "0,1450"
 | |
| tm "CptPortNameMgr"
 | |
| )
 | |
| )
 | |
| dt (MLText
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| )
 | |
| thePort (LogicalPort
 | |
| lang 11
 | |
| m 3
 | |
| decl (Decl
 | |
| n "Buffer0"
 | |
| t "std_logic_vector"
 | |
| b "(15 DOWNTO 0)"
 | |
| o 0
 | |
| )
 | |
| )
 | |
| )
 | |
| DeclarativeBlock *86 (SymDeclBlock
 | |
| uid 1,0
 | |
| stg "SymDeclLayoutStrategy"
 | |
| declLabel (Text
 | |
| uid 2,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-15000,5300,-8500,6200"
 | |
| st "Declarations"
 | |
| blo "-15000,6000"
 | |
| )
 | |
| portLabel (Text
 | |
| uid 3,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-15000,6200,-12000,7100"
 | |
| st "Ports:"
 | |
| blo "-15000,6900"
 | |
| )
 | |
| externalLabel (Text
 | |
| uid 4,0
 | |
| va (VaSet
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-15000,10700,-12500,11600"
 | |
| st "User:"
 | |
| blo "-15000,11400"
 | |
| )
 | |
| internalLabel (Text
 | |
| uid 6,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,1"
 | |
| )
 | |
| xt "-15000,5300,-7500,6200"
 | |
| st "Internal User:"
 | |
| blo "-15000,6000"
 | |
| )
 | |
| externalText (MLText
 | |
| uid 5,0
 | |
| va (VaSet
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-13000,11600,-13000,11600"
 | |
| tm "SyDeclarativeTextMgr"
 | |
| )
 | |
| internalText (MLText
 | |
| uid 7,0
 | |
| va (VaSet
 | |
| isHidden 1
 | |
| font "courier,8,0"
 | |
| )
 | |
| xt "-15000,5300,-15000,5300"
 | |
| tm "SyDeclarativeTextMgr"
 | |
| )
 | |
| )
 | |
| lastUid 437,0
 | |
| activeModelName "Symbol"
 | |
| )
 |